VLSI Design Group

Navigation

Search This Site

Publications

Export 84 results:
Author Title [ Type(Asc)] Year
Filters: First Letter Of Last Name is S  [Clear All Filters]
Conference Paper
J. Wang, Nalam, S., Qi, J., Mann, R. W., Stan, M., and Calhoun, B. H., Improving SRAM Vmin and Yield by Using Variation-Aware BTI Stress, in CICC, San Jose, CA, 2010.
A. Mallick, Bashar, M. Khairul, Truesdell, D. S., Calhoun, B. H., Joshi, S., and Shukla, N., Graph Coloring using Coupled Oscillator-based Dynamical Systems, in 2021 IEEE International Symposium on Circuits and Systems (ISCAS), 2021.
S. Arrabi, Moore, D., Wang, L., Skadron, K., and Calhoun, B. H., Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems, in International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2014.
P. Sotiriadis, Franza, O., Bailey, D., Calhoun, B., Lin, D., and Chandrakasan, A., Fast Algorithm for Clock Grid Simulation, in European Solid State Circuits Conference (ESSCIRC), 2002, pp. 771-774.
M. Guevara, Marino, M. D., Meng, J., Satyamoorthy, P., Szafaryn, L. G., Wu, P., Meyer, B., Skadron, K., Lach, J., and Calhoun, B. H., Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores, in TECHCON, 2010.
M. Guevara, Marino, M. D., Meng, J., Satyamoorthy, P., Szafaryn, L. G., Wu, P., Meyer, B., Skadron, K., Lach, J., and Calhoun, B. H., Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores, in TECHCON, 2010.
M. Guevara, Marino, M. D., Meng, J., Satyamoorthy, P., Szafaryn, L. G., Wu, P., Meyer, B., Skadron, K., Lach, J., and Calhoun, B. H., Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores, in TECHCON, 2010.
E. Shih, Calhoun, B. H., Cho, S. - H., and Chandrakasan, A., Energy-Efficient Link Layer for Wireless Microsensor Networks, in IEEE Computer Society Workshop on VLSI, 2001, pp. 16-21.
L. Wang, Skadron, K., and Calhoun, B. H., Dark vs. Dim Silicon and Near-Threshold Computing, in Dark Silicon Workshop (DaSi), 2012.
A. Alghaihab, Chen, X., Shi, Y., Truesdell, D. S., Calhoun, B. H., and Wentzloff, D. D., A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet, in 2020 IEEE International Solid-State Circuits Conference (ISSCC), 2020.
A. Shrivastava, Lach, J., and Calhoun, B., A Charge Pump Based Receiver Circuit for a Voltage Scaled Interconnect, in International Symposium on Low Power Electronics and Design, 2012.
J. B. Stocking, Eberhardt, W. C., Shakhsheer, Y. A., Paulus, J. R., Appleby, M., and Calhoun, B. H., A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing, in IEEE Sensors, 2010.
J. B. Stocking, Eberhardt, W. C., Shakhsheer, Y. A., Paulus, J. R., Appleby, M., and Calhoun, B. H., A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing, in IEEE Sensors, 2010.
W. C. Eberhardt, Shakhsheer, Y. A., and Calhoun, B. H., A Bio-Inspired Artificial Whisker for Fluid Motion Sensing with Increased Sensitivity and Reliability, in IEEE Sensors, Limrick, Ireland, 2011.
F. Zhang, Zhang, Y., Silver, J., Shakhsheer, Y., Nagaraju, M., Klinefelter, A., Pandey, J., Boley, J., Carlson, E., Shrivastava, A., Otis, B., and Calhoun, B., A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC, in ISSCC, San Francisco, 2012.
F. Zhang, Zhang, Y., Silver, J., Shakhsheer, Y., Nagaraju, M., Klinefelter, A., Pandey, J., Boley, J., Carlson, E., Shrivastava, A., Otis, B., and Calhoun, B., A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC, in ISSCC, San Francisco, 2012.
F. Zhang, Zhang, Y., Silver, J., Shakhsheer, Y., Nagaraju, M., Klinefelter, A., Pandey, J., Boley, J., Carlson, E., Shrivastava, A., Otis, B., and Calhoun, B., A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC, in ISSCC, San Francisco, 2012.
S. Kamineni, Sharma, A., Harjani, R., Sapatnekar, S. S., and Calhoun, B. H., AuxcellGen: A Framework for Autonomous Generation of Analog and Memory Unit Cells, in Design, Automation and Test in Europe Conference (DATE), 2023, 2023.PDF icon AuxcellGen-A Framework for Autonomous Generation of Analog and Memory Unit Cells.pdf (3.37 MB)
S. Kamineni, Sharma, A., Harjani, R., Sapatnekar, S. S., and Calhoun, B. H., AuxcellGen: A Framework for Autonomous Generation of Analog and Memory Unit Cells, in Design, Automation and Test in Europe Conference (DATE), 2023, 2023.PDF icon AuxcellGen-A Framework for Autonomous Generation of Analog and Memory Unit Cells.pdf (3.37 MB)
Y. Shakhsheer, Khanna, S., Craig, K., Arrabi, S., Lach, J., and Calhoun, B. H., A 90nm Data Flow Processor Demonstrating Fine Grained DVS for Energy Efficient Operation from 0.25V to 1.2V, in Custom Integrated Circuits Conference, San Jose, 2011.
A. Klinefelter, Roberts, N., Shakhsheer, Y., Gonzalez, P., Shrivastava, A., Roy, A., Craig, K., Faisal, M., Boley, J., Oh, S., Zhang, Y., Akella, D., Wentzloff, D. D., and Calhoun, B., A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios, in ISSCC, San Francisco, CA, 2015.
A. Klinefelter, Roberts, N., Shakhsheer, Y., Gonzalez, P., Shrivastava, A., Roy, A., Craig, K., Faisal, M., Boley, J., Oh, S., Zhang, Y., Akella, D., Wentzloff, D. D., and Calhoun, B., A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios, in ISSCC, San Francisco, CA, 2015.
A. Shrivastava, Pandey, J., Otis, B., and Calhoun, B. H., A 50nW, 100kbps Clock/Data Recovery Circuit in an FSK RF Receiver on a Body Sensor Node, in VLSI Design Conference, 2013.
D. Duvvuri, Shen, X., Bassirian, P., Bishop, H. L., Liu, X., Chen, C. - H., Dissanayake, A., Zhang, Y., Blalock, T. N., Calhoun, B. H., and Bowers, S. M., A 366 nW, -74.5 dBm Sensitivity Antenna-Coupled Wakeup Receiver at 4.9 GHz with Integrated Voltage Regulation and References, in IEEE MTT-S International Microwave Symposium (IMS), Atlanta, GA, 2021.
A. Shrivastava, Craig, K., Roberts, N., Wentzloff, D. D., and Calhoun, B. H., A 32nW Bandgap Reference Voltage Operational from 0.5V Supply for Ultra-low Power Systems, in EEE International Solid-State Circuits Conference (ISSCC), 2015.

Pages