@conference {5106, title = {AuxcellGen: A Framework for Autonomous Generation of Analog and Memory Unit Cells}, booktitle = {Design, Automation and Test in Europe Conference (DATE), 2023}, year = {2023}, month = {04/2023}, author = {Sumanth Kamineni and Arvind Sharma and Ramesh Harjani and Sachin S. Sapatnekar and Benton H. Calhoun} } @article {5056, title = {A 184 nW, -78.3 dBm Sensitivity Antenna-Coupled Supply, Temperature, and Interference-Robust Wake-up Receiver at 4.9 GHz}, journal = {IEEE Transactions on Microwave Theory and Techniques}, year = {2022}, author = {Xiaochuan Shen and Divya Duvvuri and Pouyan Bassirian and Henry L. Bishop and Xinjian Liu and Anjana Dissanayake and Yaobin Zhang and Travis N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @conference {5021, title = {A 366 nW, -74.5 dBm Sensitivity Antenna-Coupled Wakeup Receiver at 4.9 GHz with Integrated Voltage Regulation and References}, booktitle = {IEEE MTT-S International Microwave Symposium (IMS)}, year = {2021}, address = {Atlanta, GA}, author = {Divya Duvvuri and X. Shen and P. Bassirian and Henry L. Bishop and Xinjian Liu and Chien-Hen Chen and Anjana Dissanayake and Yaobin Zhang and T. N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @article {4961, title = {A Crystal-Less BLE Transmitter with Clock Recovery from GFSK-Modulated BLE Packets}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Xing Chen and Abdullah Alghaihab and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @conference {4981, title = {Graph Coloring using Coupled Oscillator-based Dynamical Systems}, booktitle = {2021 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2021}, author = {Antik Mallick and Mohammad Khairul Bashar and Daniel S. Truesdell and Benton H. Calhoun and Siddharth Joshi and Nikhil Shukla} } @conference {4826, title = {A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet}, booktitle = {2020 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2020}, month = {02/2020}, author = {Abdullah Alghaihab and Xing Chen and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @proceedings {4976, title = {Fully Autonomous Mixed Signal SoC Design \& Layout Generation Platform}, journal = {IEEE Hot Chips 32 Symposium (HCS)}, year = {2020}, month = {08/2020}, author = {Tutu Ajayi and Yaswanth K Cherivirala and Kyumin Kwon and Sumanth Kamineni and Mehdi Saligane and Morteza Fayazi and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton H. Calhoun and David D. Wentzloff} } @conference {4971, title = {An Open-source Framework for Autonomous SoC Design with Analog Block Generation}, booktitle = {28th IFIP/IEEE International Conference on Very Large Scale Integration}, year = {2020}, month = {10/2020}, address = {Salt Lake City, UT, USA. (Nominated for Best Paper Award)}, keywords = {analog generator, analog synthesis, SoC generator}, author = {Tutu Ajayi and Sumanth Kamineni and Yaswanth K Cherivirala and Morteza Fayazi and Kyumin Kwon and Mehdi Saligane and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton Calhoun and David D. Wentzloff} } @article {4916, title = {Using synchronized oscillators to compute the maximum independent set}, journal = {Nature Communications}, year = {2020}, author = {Antik Mallick and M. K. Bashar and Daniel S. Truesdell and Benton H. Calhoun and Siddarth Joshi and Nikhil Shukla} } @article {4726, title = {Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {04/2019}, author = {Abdullah Alghaihab and Yao Shi and Jacob Breiholz and Hun-Seok Kim and Benton H Calhoun and David D Wentzloff} } @conference {4761, title = {A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2019}, month = {09/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Cracow, Poland}, author = {Omar Abdelatty and Henry Bishop and Yao Shi and Xing Chen and Abdullah Alghaihab and Benton Calhoun and David Wentzloff} } @conference {4741, title = {A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs}, booktitle = {19th International Symposium on Quality Electronic Design (ISQED)}, year = {2018}, month = {03/2018}, author = {Divya Akella Kamakshi and Xinfei Guo and Harsh N Patel and Mircea R Stan and Benton H Calhoun} } @article {382, title = {A 0.2 V, 23 nW CMOS Temperature Sensor for Ultra-Low-Power IoT Applications}, journal = {J. Low Power Electron. Appl. (JLPEA)}, volume = {6}, year = {2016}, author = {D. Akella Kamakshi and A. Shrivastava and B. H. Calhoun} } @article {387, title = {A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {51}, year = {2016}, author = {A. Shrivastava and Akella, D. and B. H. Calhoun} } @conference {393, title = {A 236nW -56.5dBm Sensitivity Self-Powered Bluetooth Low-Energy Wakeup Receiver in 65nm CMOS}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2016}, author = {Roberts, N. E. and K. Craig and A. Shrivastava and S. N. Wooters and Y. Shakhsheer and B. H. Calhoun and Wentzloff, D. D.} } @article {384, title = {A 36nW, 7 ppm/oC Fully On-Chip Clock Source System for Ultra-Low Power Applications}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, volume = {6}, year = {2016}, author = {Akella, D. and A. Shrivastava and C. Duan and B. H. Calhoun} } @article {381, title = {A Design and Theoretical Analysis of a 145 mV to 1.2 V Single-Ended Level Converter Circuit for Ultra-Low Power Low Voltage ICs}, journal = {J. Low Power Electron. Appl. (JLPEA)}, volume = {6}, year = {2016}, author = {Y. Huang and A. Shrivastava and L. Barnes and B. H. Calhoun} } @article {388, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting with 220mV Cold-Start and -14.5dBm, 915MHz RF Kick-Start}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {50}, year = {2015}, month = {08/2015}, pages = {1820-1832}, author = {A. Shrivastava and Roberts, N. E. and O. U. Khan and Wentzloff, D. D. and B. H. Calhoun} } @conference {394, title = {A 145mV to 1.2V Single Ended Level Converter Circuit for Ultra-Low Power Low Voltage ICs}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, year = {2015}, author = {Y. Huang and A. Shrivastava and B. H. Calhoun} } @conference {365, title = {A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference}, year = {2015}, month = {10/2015}, publisher = {IEEE}, organization = {IEEE}, address = {Rohnert Park, CA}, author = {Divya Akella and Aatmesh Shrivastava and Benton H. Calhoun} } @conference {397, title = {A 32nW Bandgap Reference Voltage Operational from 0.5V Supply for Ultra-low Power Systems}, booktitle = {EEE International Solid-State Circuits Conference (ISSCC)}, year = {2015}, author = {A. Shrivastava and K. Craig and N. Roberts and Wentzloff, D. D. and B. H. Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {370, title = {A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, volume = {9}, year = {2015}, month = {12/2015}, pages = {862-874}, author = {Abhishek Roy and Alicia Klinefelter and Farah Yahya and Xing Chen and Patricia Gonzalez and Christopher J Lukas and Divya Akella and James Boley and Kyle Craig and Muhammad Faisal and Seunghyun Oh and Nathan Roberts and Yousef Shakhsheer and Aatmesh Shrivastava and Dilip Vasudevan and David D. Wentzloff and Benton Calhoun} } @conference {396, title = {Ultra Low Power Circuits and Systems for Self Powered Wireless Sensors}, booktitle = {GOMACTech}, year = {2015}, author = {Y. Shakhsheer and A. Shrivastava and N. Roberts and K. Craig and S. Wooters and Wentzloff, D. D. and B. H. Calhoun} } @conference {341, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric Energy Harvesting}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2014}, month = {2014}, author = {A. Shrivastava and D. Wentzloff and B. H. Calhoun} } @conference {343, title = {A 1.2μW SIMO Energy Harvesting and Power Management Unit with Constant Peak Inductor Current Control Achieving 83-92\% Efficiency Across Wide Input and Output Voltages}, booktitle = {Symposium on VLSI Circuits}, year = {2014}, month = {2014}, author = {A. Shrivastava and Y. K. Ramadass and S. Khanna and S. Bartling and B. H. Calhoun} } @article {331, title = {A 32b 90nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation from Sub-threshold to High Performance}, journal = {Journal of Solid State Circuits}, year = {2014}, author = {Kyle Craig and Yousef Shakhsheer and Saad Arrabi and Sudhanshu Khanna and John Lach and Benton H. Calhoun} } @conference {344, title = {Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems}, booktitle = {International Symposium on Field-Programmable Custom Computing Machines (FCCM)}, year = {2014}, month = {2014}, author = {S. Arrabi and D. Moore and L. Wang and K. Skadron and B. H. Calhoun} } @conference {347, title = {A Reverse Write Assist Circuit for SRAM Dynamic Write VMIN Tracking using Canary SRAMs}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2014}, month = {02,2014}, author = {A. Banerjee and M. Sinangil and J. Poulton and C. T. Gray and B. H. Calhoun} } @conference {298, title = {A 50nW, 100kbps Clock/Data Recovery Circuit in an FSK RF Receiver on a Body Sensor Node}, booktitle = {VLSI Design Conference}, year = {2013}, month = {01/2013}, author = {Aatmesh Shrivastava and Jagdish Pandey and Brian Otis and Benton H. Calhoun} } @article {318, title = {A Batteryless 19 uW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications}, journal = {Journal of Solid State Circuits}, volume = {48}, year = {2013}, month = {01/2013}, pages = {199-213}, chapter = {199}, author = {Yanqing Zhang and Fan Zhang and Yousef Shakhsheer and Jason D. Silver and Alicia Klinefelter and Manohar Nagaraju and James Boley and Jagdish Pandey and Aatmesh Shrivastava and Eric J. Carlson and Austin Wood and Benton H. Calhoun and Brian P. Otis} } @article {327, title = {A DC-DC Converter Efficiency Model for System Level Analysis in Ultra Low Power Applications}, year = {2013}, month = {06/2013}, abstract = {This paper presents a model of inductor based DC-DC converters that can be used to study the impact of power management techniques such as dynamic voltage and frequency scaling (DVFS). System level power models of low power systems on chip (SoCs) and power management strategies cannot be correctly established without accounting for the associated overhead related to the DC-DC converters that provide regulated power to the system. The proposed model accurately predicts the efficiency of inductor based DC-DC converters with varying topologies and control schemes across a range of output voltage and current loads. It also accounts for the energy and timing overhead associated with the change in the operating condition of the regulator. Since modern SoCs employ power management techniques that vary the voltage and current loads seen by the converter, accurate modeling of the impact on the converter efficiency becomes critical. We use this model to compute the overall cost of two power distribution strategies for a SoC with multiple voltage islands. The proposed model helps us to obtain the energy benefits of a power management technique and can also be used as a basis for comparison between power management techniques or as a tool for design space exploration early in a SoC design cycle.}, url = {http://www.mdpi.com/2079-9268/3/3/215}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @conference {296, title = {A 150nW, 5ppm/oC, 100kHz On-Chip Clock Source for Ultra Low Power SoCs}, booktitle = {Custom Integrated Circuits Conference}, year = {2012}, month = {09/2012}, publisher = {IEEE}, organization = {IEEE}, address = {San Jose}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @conference {278, title = {A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC}, booktitle = {ISSCC}, year = {2012}, month = {02/2012}, address = {San Francisco}, author = {Fan Zhang and Yanqing Zhang and Jason Silver and Yousef Shakhsheer and Manohar Nagaraju and Alicia Klinefelter and Jagdish Pandey and James Boley and Eric Carlson and Aatmesh Shrivastava and Brian Otis and Benton Calhoun} } @conference {287, title = {A Charge Pump Based Receiver Circuit for a Voltage Scaled Interconnect}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Aatmesh Shrivastava and John Lach and Benton Calhoun} } @proceedings {299, title = {A Custom Processor for Node and Power Management of a Battery-less Body Sensor Node in 130nm CMOS}, journal = {Custom Integrated Circuits Conference}, year = {2012}, month = {09/2012}, address = {San Jose}, author = {Y. Shakhsheer and Y. Zhang and B. Otis and B. H. Calhoun} } @conference {311, title = {Dark vs. Dim Silicon and Near-Threshold Computing}, booktitle = {Dark Silicon Workshop (DaSi)}, year = {2012}, month = {06/2012}, author = {Liang Wang and Kevin Skadron and Benton H. Calhoun} } @conference {297, title = {Modeling DC-DC Converter Efficiency and Power Management in Ultra Low Power Systems}, booktitle = {Subthreshold Microelectronics Conference}, year = {2012}, month = {10/2012}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @conference {290, title = {Optimal Power Switch Design for Dynamic Voltage Scaling from High Performance to Subthreshold Operation}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Kyle Craig and Yousef Shakhsheer and Benton H. Calhoun} } @conference {289, title = {A Programmable Resistive Power Grid for Post-Fabrication Flexibility and Energy Tradeoffs}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Kyle Craig and Yousef Shakhsheer and Sudhanshu Khanna and Saad Arrabi and John Lach and Benton H. Calhoun and Stephen Kosonocky} } @conference {312, title = {Reducing the Cost of Safety-Critical Systems with On-Demand Redundancy}, booktitle = {SRC Techcon}, year = {2012}, month = {09/2012}, author = {L. Szafaryn and J. Chen and Benton H. Calhoun and John Lach and Kevin Skadron and Brett H. Meyer} } @article {340, title = {Tracking On-Chip Age Using Distributed, Embedded Sensors}, journal = {Transactions on VLSI Systems (TVLSI)}, volume = {20}, year = {2012}, month = {11/2012}, pages = {12}, chapter = {1974}, author = {S. N. Wooters and A. C. Cabe and Z. Qi and J. Wang and R. W. Mann and B. H. Calhoun and M. R. Stan and T. N. Blalock} } @conference {258, title = {A 90nm Data Flow Processor Demonstrating Fine Grained DVS for Energy Efficient Operation from 0.25V to 1.2V}, booktitle = {Custom Integrated Circuits Conference}, year = {2011}, month = {09/2011}, address = {San Jose}, author = {Y. Shakhsheer and S. Khanna and K. Craig and S. Arrabi and J. Lach and B. H. Calhoun} } @conference {259, title = {A Bio-Inspired Artificial Whisker for Fluid Motion Sensing with Increased Sensitivity and Reliability}, booktitle = {IEEE Sensors}, year = {2011}, month = {10/2011}, address = {Limrick, Ireland}, author = {W.C. Eberhardt and Y.A. Shakhsheer and B. H. Calhoun} } @article {265, title = {Body Sensor Networks: A Holistic Approach From Silicon to Users}, journal = {IEEE Proceedings}, year = {2011}, type = {Proceedings Journal}, author = {Benton H. Calhoun and John Lach and John Stankovic and David D. Wentzloff and Kamin Whitehouse and Adam Barth and Jonathan K Brown and Qiang Li and Seunghyun Oh and Nathan Roberts and Yanqing Zhang} } @proceedings {284, title = {Cost-effective Safety and Fault Localization using Distributed Temporal Redundancy}, journal = {CASES}, year = {2011}, author = {B. H. Meyer and B. H. Calhoun and J. C. Lach and K. Skadron} } @article {256, title = {Energy Efficient Design for Body Sensor Nodes}, journal = {Journal of Low Power Electronics and Applications}, year = {2011}, month = {04/2011}, type = {Review}, keywords = {body sensor networks, energy efficient design, sub-threshold circuits, wearable computing}, issn = {2079-9268}, url = {http://www.mdpi.com/2079-9268/1/1/109/}, author = {Yanqing Zhang and Yousef Shakhsheer and Adam T. Barth and Harry C. Powell Jr. and Samuel A. Ridenour and Mark A. Hanson and John Lach and Benton H. Calhoun} } @conference {270, title = {Optimal Power Switch Design for Panoptic Dynamic Voltage Scaling Enabling Subthreshold Operation}, booktitle = {Subthreshold Microelectronics Conference}, year = {2011}, author = {Kyle Craig and Yousef Shakhsheer and Sudhanshu Khanna and Benton H. Calhoun} } @conference {240, title = {Reducing the Cost of Redundant Execution in Safety-Critical Systems using Relaxed Dedication}, booktitle = {Design Automation and Test in Europe (DATE)}, year = {2011}, month = {03/2011}, author = {B. H. Meyer and K. Skadron and N. George and B. H. Calhoun and J. Lach} } @conference {246, title = {Stepped Supply Voltage Switching for Energy Constrained Systems}, booktitle = {ISQED}, year = {2011}, author = {Sudhanshu Khanna and Kyle Craig and Yousef Shakhsheer and Saad Arrabi and John Lach and Benton Calhoun} } @booklet {286, title = {A Sub-0.5V Lattice-Based Public-Key Encryption Scheme for RFID Platforms in 130nm}, year = {2011}, address = {2011 Workshop on RFID Security}, author = {Y. Yu and J. Huang and S. Khanna and B. H. Calhoun and J. Lach and A. Shelat and D. Evans} } @booklet {271, title = {A sub-threshold clock and data recovery circuit for a wireless sensor node}, year = {2011}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @conference {276, title = {A Sub-Threshold FPGA: Energy-Efficient Reconfigurable Logic}, booktitle = {GOMAC Tech}, year = {2011}, month = {03/2011}, author = {Benton H. Calhoun and Yanqing Zhang and Sudhanshu Khanna and Kyle Craig and Yousef Shakhsheer and John Lach} } @article {282, title = {Tracking On-Chip Age Using Distributed, Embedded Sensors}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {Stuart N. Wooters and A. C. Cabe and Z. Qi and J. Wang and R. W. Mann and B. H. Calhoun and M. R. Stan and Travis N. Blalock} } @conference {84, title = {A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing}, booktitle = {IEEE Sensors}, year = {2010}, author = {J.B. Stocking and W.C. Eberhardt and Y.A. Shakhsheer and J.R. Paulus and M. Appleby and B. H. Calhoun} } @conference {81, title = {Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores}, booktitle = {TECHCON}, year = {2010}, month = {13/09/2010}, author = {Marisabel Guevara and M. D. Marino and J. Meng and P. Satyamoorthy and L. G. Szafaryn and Puqing Wu and Brett Meyer and Kevin Skadron and John Lach and Benton H. Calhoun} } @conference {224, title = {Improving SRAM Vmin and Yield by Using Variation-Aware BTI Stress}, booktitle = {CICC}, year = {2010}, month = {09/2010}, address = {San Jose, CA}, author = {Jiajing Wang and Nalam, Satyanand and Jerry Qi and R. W. Mann and Mircea Stan and B. H. Calhoun} } @conference {77, title = {REESES: Rapid Efficient Energy Scalable ElectronicS}, booktitle = {GOMAC Tech}, year = {2010}, month = {03/2010}, author = {B. H. Calhoun and S. Arrabi and S. Khanna and Y. Shakhsheer and K. Craig and J. Ryan and J. Lach} } @conference {53, title = {SRAM-Based NBTI/PBTI Sensor System Design}, booktitle = {Design Automation Conference (DAC)}, year = {2010}, month = {06/2010}, pages = {849-852}, address = {San Diego, CA}, author = {Jerry Qi and Jiajing Wang and Benton H. Calhoun and Mircea Stan} } @inbook {39, title = {Standby Supply Voltage Minimization for Reliable Nanoscale SRAMs}, booktitle = {Solid State Circuits Technologies}, year = {2010}, publisher = {INTECH}, organization = {INTECH}, chapter = {6}, isbn = {978-953-307-045-2}, url = {http://sciyo.com/articles/show/title/standby-supply-voltage-minimization-for-reliable-nanoscale-srams}, author = {Jiajing Wang and Benton H. Calhoun}, editor = {Jacobus W. Swart} } @article {250, title = {Two Fast Methods for Estimating the Minimum Standby Supply Voltage for Large SRAMs}, journal = {Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)}, volume = {29}, year = {2010}, month = {12/2010}, pages = {1908-1920}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @conference {230, title = {MSN: Memory Sensor for NBTI}, booktitle = {Techcon}, year = {2009}, month = {09/2009}, author = {Jerry Qi and Jiajing Wang and Benton H. Calhoun and Mircea Stan} } @article {18, title = {Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS}, journal = {Proceedings of the IEEE (Special Issue on Integrated Electronics: Beyond Moore{\textquoteright}s Law)}, volume = {96}, year = {2008}, month = {02/2008}, pages = {343-365}, author = {Benton H. Calhoun and Yu Cao, Xin Li and Ken Mai and Lawrence T. Pileggi and Rob A. Rutenbar and Kenneth L. Shepard} } @conference {23, title = {Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design}, booktitle = {International Conference on VLSI Design, India}, year = {2008}, month = {00/01/2008}, pages = {131-136}, author = {Amith Singhee and Jiajing Wang and Benton H. Calhoun and Rob A. Rutenbar} } @conference {25, title = {Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2007}, month = {00/09/2007}, pages = {400-403}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @inbook {87, title = {Low Energy Digital Circuit Design}, booktitle = {AmIware: Hardware Drivers of Ambient Intelligence}, year = {2006}, publisher = {Springer}, organization = {Springer}, author = {Benton H. Calhoun and Curt Schurgers and Alice Wang and Anantha Chandrakasan}, editor = {S. Mukherjee, E. Aarts, R. Roovers, F. Widdershoven, and M. Ouwerkerk} } @article {54, title = {Design Considerations for Energy-Efficient Radios in Wireless Microsensor Networks}, journal = {Journal of VLSI Signal Processing}, volume = {37}, year = {2004}, month = {05/2004}, pages = {77-94}, author = {Eugene Shih and Seong-Hwan Cho and Fred S. Lee and Benton H. Calhoun and Anantha Chandrakasan} } @conference {42, title = {Fast Algorithm for Clock Grid Simulation}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2002}, month = {09/2002}, pages = {771-774}, author = {Paul Sotiriadis and Olivier Franza and Dan Bailey and Benton Calhoun and D. Lin and Anantha Chandrakasan} } @conference {41, title = {Energy-Efficient Link Layer for Wireless Microsensor Networks}, booktitle = {IEEE Computer Society Workshop on VLSI}, year = {2001}, month = {04/2001}, pages = {16-21}, author = {Eugene Shih and Benton H. Calhoun and Seong-Hwan Cho and Anantha Chandrakasan} }