VLSI Design Group

Navigation

Search This Site

Publications

Export 60 results:
Author Title [ Type(Desc)] Year
Filters: Author is B. H. Calhoun  [Clear All Filters]
Conference Paper
B. H. Meyer, Skadron, K., George, N., Calhoun, B. H., and Lach, J., Reducing the Cost of Redundant Execution in Safety-Critical Systems using Relaxed Dedication, in Design Automation and Test in Europe (DATE), 2011.
B. H. Calhoun, Arrabi, S., Khanna, S., Shakhsheer, Y., Craig, K., Ryan, J., and Lach, J., REESES: Rapid Efficient Energy Scalable ElectronicS, in GOMAC Tech, 2010.
A. Banerjee, Sinangil, M., Poulton, J., Gray, C. T., and Calhoun, B. H., A Reverse Write Assist Circuit for SRAM Dynamic Write VMIN Tracking using Canary SRAMs, in International Symposium on Quality Electronic Design (ISQED), 2014.
P. Beshay, Chandra, V., Aitken, R., and Calhoun, B. H., Self Calibrated Dynamic Write Margin Sensor for Low Power Read/Write Operations in Sub-32nm SRAM, in Design Automation Conference (DAC), 2014.
M. Bhargava, Nalam, S., Calhoun, B. H., and Mai, K., An SRAM Prototyping Tool for Rapid Sub-32nm Design Exploration and Optimization, in TECHCON, 2009.
J. Boley and Calhoun, B. H., Stack Based Sense Amplifier Designs for Reducing Input-Referred Offset, in International Symposium on Quality Electronic Design, 2015.
B. H. Calhoun, Khanna, S., Mann, R., and Wang, J., Sub-threshold Circuit Design with Shrinking CMOS Devices, in International Symposium on Circuits and Systems, 2009.
S. Nalam, Bhargava, M., Ringgenberg, K., Mai, K., and Calhoun, B. H., A Technology-Agnostic Simulation Environment (TASE) for Iterative Custom IC Design across Processes, in ICCD, 2009, pp. 523-528.
P. Long, Huang, J. Z., Povolotskyi, M., Verreck, D., Charles, J., Kubis, T., Klimeck, G., Rodwell, M. J. W., and Calhoun, B. H., A Tunnel FET Design for High-Current, 120 mV Operation, in IEDM, 2016.
Y. Shakhsheer, Shrivastava, A., Roberts, N., Craig, K., Wooters, S., Wentzloff, D. D., and Calhoun, B. H., Ultra Low Power Circuits and Systems for Self Powered Wireless Sensors, in GOMACTech, 2015.
B. H. Calhoun and Wentzloff, D. D., Ultra-Low Power Wireless SoCs Enabling a Batteryless IoT, in HOT Chips, 2015.
S. Nalam, Bhargava, M., Mai, K., and Calhoun, B. H., Virtual Prototyper (ViPro): An Early Design Space Exploration and Optimization Tool for SRAM Designers, in Design Automation Conference (DAC), 2010, pp. 138-143.
Journal Article
A. D. Kamakshi, Shrivastava, A., and Calhoun, B. H., A 0.2 V, 23 nW CMOS Temperature Sensor for Ultra-Low-Power IoT Applications, J. Low Power Electron. Appl. (JLPEA), vol. 6, 2016.
A. Shrivastava, Roberts, N. E., Khan, O. U., Wentzloff, D. D., and Calhoun, B. H., A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting with 220mV Cold-Start and -14.5dBm, 915MHz RF Kick-Start, IEEE Journal of Solid-State Circuits (JSSC), vol. 50, pp. 1820-1832, 2015.
A. Shrivastava, Akella, D., and Calhoun, B. H., A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply, IEEE Journal of Solid-State Circuits (JSSC), vol. 51, 2016.
D. Akella, Shrivastava, A., Duan, C., and Calhoun, B. H., A 36nW, 7 ppm/oC Fully On-Chip Clock Source System for Ultra-Low Power Applications, Journal of Low Power Electronics and Applications (JLPEA), vol. 6, 2016.
J. .Bolus, Calhoun, B. H., and .Blalock, T., 39 fJ/bit On-Chip Identification of Wireless Sensors Based on Manufacturing Variation, Journal of Low Power Electronics and Applications (JLPEA), vol. 4, p. 16, 2014.
J. Boley, Wang, J., and Calhoun, B. H., Analyzing Sub-Threshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin, Journal of Low Power Electronics and Applications, 2012.
J. Boley, Wang, J., and Calhoun, B. H., Analyzing Sub-Threshold Bitcell Topologies and the Effects of Assist Methods on SRAM VMIN, Journal of Low Power Electronics and Applications (JLPEA), vol. 2, p. 12, 2012.
M. A. Hanson, Jr, H. C. Powell, Barth, A. T., Ringgenberg, K., Calhoun, B. H., Aylor, J. H., and Lach, J., Body Area Sensor Networks: Challenges and Opportunities, Computer, vol. 42, pp. 58–65, 2009.
Y. Huang, Shrivastava, A., Barnes, L., and Calhoun, B. H., A Design and Theoretical Analysis of a 145 mV to 1.2 V Single-Ended Level Converter Circuit for Ultra-Low Power Low Voltage ICs, J. Low Power Electron. Appl. (JLPEA), vol. 6, 2016.
W. Eberhardt, Wakefield, B., Casey, C., Murphy, C., Calhoun, B. H., and Reichmuth, C., Development of an artificial sensor for hydrodynamic detection inspired by a seal’s whisker array, Bioinspiration and Biomimetics, 2016.
R. W. Mann, Wang, J., Nalam, S., Khanna, S., Braceras, G., Pilo, H., and Calhoun, B. H., Impact of circuit assist methods on margin and performance in 6T SRAM, Journal of Solid State Electronics, vol. 54, pp. 1398-1407, 2010.

Pages