%0 Conference Paper %B IEEE Transactions on Biomedical Circuits and Systems, Invited paper %D 2023 %T A 33nW Fully Autonomous SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for mm-scale System-in-Fiber %A Xinjian Liu %A Daniel S. Truesdell %A Omar Faruqe %A Lalitha Parameswaran %A Michael Rickley %A Andrew Kopanski %A Lauren Cantley %A Austin Coon %A Matthew Bernasconi %A Tairan Wang %A Benton H. Calhoun %B IEEE Transactions on Biomedical Circuits and Systems, Invited paper %G eng %0 Conference Paper %B IEEE International Solid-State Circuits Conference (ISSCC) %D 2023 %T A Self-Powered SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for System-in-Fiber %A Xinjian Liu %A Daniel S. Truesdell %A Omar Faruqe %A Lalitha Parameswaran %A Michael Rickley %A Andrew Kopanski %A Lauren Cantley %A Austin Coon %A Matthew Bernasconi %A Tairan Wang %A Benton H. Calhoun %B IEEE International Solid-State Circuits Conference (ISSCC) %8 2023 %G eng %0 Journal Article %J IEEE Transactions on Biomedical Circuits and Systems %D 2019 %T A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications %A Christopher J Lukas %A Farah B Yahya %A Jacob Breiholz %A Abhishek Roy %A Xing Chen %A Harsh N Patel %A NingXi Liu %A Avish Kosari %A Shuo Li %A Divya Akella Kamakshi %A Oluseyi Ayorinde %A David D Wentzloff %A Benton H Calhoun %B IEEE Transactions on Biomedical Circuits and Systems %8 01/2019 %G eng %R 10.1109/TBCAS.2019.2894775 %0 Conference Paper %B International Solid-State Circuits Conference (ISSCC) %D 2018 %T A -76dBm 7.4 nW wakeup radio with automatic offset compensation %A Jesse Moody %A Pouyan Bassirian %A Abhishek Roy %A NingXi Liu %A Stephen Pancrazio %A N. Scott Barker %A Benton H. Calhoun %A Steven M. Bowers %B International Solid-State Circuits Conference (ISSCC) %I IEEE %8 02-2018 %G eng %0 Conference Paper %B 19th International Symposium on Quality Electronic Design (ISQED) %D 2018 %T A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs %A Divya Akella Kamakshi %A Xinfei Guo %A Harsh N Patel %A Mircea R Stan %A Benton H Calhoun %B 19th International Symposium on Quality Electronic Design (ISQED) %8 03/2018 %G eng %0 Conference Paper %B 2017 IEEE Custom Integrated Circuits Conference (CICC) %D 2017 %T A 256kb 6T self-tuning SRAM with extended 0.38V–1.2V operating range using multiple read/write assists and VMIN tracking canary sensors %A A. Banerjee %A N. Liu %A H. N. Patel %A B. H. Calhoun %E J. Poulton %E C. T. Gray %B 2017 IEEE Custom Integrated Circuits Conference (CICC) %C Austin, TX, 2017 %G eng %1 CICC2017_SRAM.pdf|Banerjee_CICC2017.pdf %0 Conference Paper %B 2017 Symposium on VLSI Circuits %D 2017 %T A battery-less 507nW SoC with integrated platform power manager and SiP interfaces %A Farah Yahya %A Christopher J Lukas %A Jacob Breiholz %A Abhishek Roy %A Harsh N. Patel %A NingXi Liu %A Xing Chen %A Avish Kosari %A Shuo Li %A Divya Akella %A Oluseyi Ayorinde %A David D. Wentzloff %A Benton H. Calhoun %B 2017 Symposium on VLSI Circuits %I IEEE %C Kyoto, Japan %8 06/2017 %@ 978-4-86348-614-0 %G eng %1 PID4631669.pdf|PID4631669.pdf %R https://doi.org/10.23919/VLSIC.2017.8008532 %0 Conference Paper %B 23rd IEEE International Symposium on On-Line Testing and Robust System Design %D 2017 %T Soft Errors: Reliability Challenges in Energy-Constrained ULP Body Sensor Networks Applications %A Harsh N. Patel %A Randy W. Mann %A Benton H. Calhoun %B 23rd IEEE International Symposium on On-Line Testing and Robust System Design %I IEEE %C Thessaloniki, Greece %8 06/2017 %G eng %1 IOLTS_2017_Poster_Paper_Submitted.pdf|IOLTS_2017_Poster_Paper_Submitted.pdf %0 Conference Paper %B 60th IEEE International Midwest Symposium on Circuits and Systems %D 2017 %T Subthreshold SRAM: Challenges, Design Decisions, and Solutions %A Harsh N. Patel %A Farah B. Yahya %A Benton H. Calhoun %B 60th IEEE International Midwest Symposium on Circuits and Systems %I IEEE %C Boston, MA, USA %8 08/2017 %G eng %1 MWCAS_2017_Final_IEEE_Submitted.pdf|MWCAS_2017_Final_IEEE_Submitted.pdf %0 Conference Paper %B European Solid State Circuits Conference (ESSCIRC) %D 2016 %T A 55nm Ultra Low Leakage Deeply Depleted Channel Technology Optimized for Energy Minimization in Subthreshold SRAM and Logic %A H. N. Patel %A Roy, A. %A F. B. Yahya %A N. Liu %A K. Kumeno %A M. Yasuda %A A. Harada %A T. Ema %A B. H. Calhoun %B European Solid State Circuits Conference (ESSCIRC) %G eng %1 A 55nm Ultra Low Leakage Deeply Depleted Channel Technology Optimized for Energy Minimization in Subthreshold SRAM and Logic.pdf|A 55nm Ultra Low Leakage Deeply Depleted Channel Technology Optimized for Energy Minimization in Subthreshold SRAM and Logic.pdf %0 Conference Paper %B International Conference on VLSI Design %D 2016 %T Improving Reliability and Energy Requirements of Memory in Body Sensor Networks. %A Harsh Patel %A Farah Yahya %A Benton H. Calhoun %B International Conference on VLSI Design %I IEEE %C Kolkata, India %G eng %1 Improving Reliability and Energy Requirements of Memory in Body Sensor Networks.pdf|Improving Reliability and Energy Requirements of Memory in Body Sensor Networks.pdf %0 Conference Paper %B International Symposium on Quality Electronic Design (ISQED) %D 2016 %T Optimizing SRAM Bitcell Reliability and Energy for IoT Applications %A Harsh Patel %A Farah Yahya %A Benton Calhoun %B International Symposium on Quality Electronic Design (ISQED) %I IEEE %C Santa Clara, CA %8 2016 %G eng %1 Optimizing SRAM Bitcell Reliability and Energy for IoT Applications.pdf|Optimizing SRAM Bitcell Reliability and Energy for IoT Applications.pdf %0 Journal Article %J J. Low Power Electron. Appl. (JLPEA) %D 2016 %T A Sub-threshold 8T SRAM Macro with 12.29nW/KB Standby Power and 6.24 pJ/access for Battery-Less IoT SoCs %A F. Yahya %A H. Patel %A Boley, J. %A A. Banerjee %A B. H. Calhoun %B J. Low Power Electron. Appl. (JLPEA) %V 6 %G eng %1 A Sub-threshold 8T SRAM Macro with 12.29nWKB Standby Power and 6.24 pJaccess for Battery-Less IoT SoCs.pdf|A Sub-threshold 8T SRAM Macro with 12.29nWKB Standby Power and 6.24 pJaccess for Battery-Less IoT SoCs.pdf %0 Conference Paper %B IEDM %D 2016 %T A Tunnel FET Design for High-Current, 120 mV Operation %A P. Long %A J. Z. Huang %A M. Povolotskyi %A D. Verreck %A J. Charles %A T. Kubis %A G. Klimeck %A M. J.W. Rodwell %A B. H. Calhoun %B IEDM %G eng %0 Conference Paper %B 6th Asia Symposium on Quality Electronic Design (ASQED 2015) %D 2015 %T Combining SRAM Read/Write Assist Techniques for Near/Sub-Threshold Voltage Operation %A Farah B. Yahya %A Harsh N. Patel %A Vikas Chandra %A Benton H. Calhoun %B 6th Asia Symposium on Quality Electronic Design (ASQED 2015) %C Kuala Lumpur, Malaysia %8 08/2015 %G eng %1 asQED2015_Yahya_Rev5.pdf|asQED2015_Yahya_Rev5.pdf %0 Conference Paper %B International Symposium on Quality Electronic Design (ISQED) %D 2014 %T A Reverse Write Assist Circuit for SRAM Dynamic Write VMIN Tracking using Canary SRAMs %A A. Banerjee %A M. Sinangil %A J. Poulton %A C. T. Gray %A B. H. Calhoun %B International Symposium on Quality Electronic Design (ISQED) %8 02,2014 %G eng %1 06783299.pdf|06783299.pdf %0 Conference Paper %B VLSI Design Conference %D 2013 %T A 50nW, 100kbps Clock/Data Recovery Circuit in an FSK RF Receiver on a Body Sensor Node %A Aatmesh Shrivastava %A Jagdish Pandey %A Brian Otis %A Benton H. Calhoun %B VLSI Design Conference %8 01/2013 %G eng %1 Shrivastava_vlsid13.pdf|Shrivastava_vlsid13.pdf %0 Journal Article %J Journal of Solid State Circuits %D 2013 %T A Batteryless 19 uW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications %A Yanqing Zhang %A Fan Zhang %A Yousef Shakhsheer %A Jason D. Silver %A Alicia Klinefelter %A Manohar Nagaraju %A James Boley %A Jagdish Pandey %A Aatmesh Shrivastava %A Eric J. Carlson %A Austin Wood %A Benton H. Calhoun %A Brian P. Otis %B Journal of Solid State Circuits %V 48 %P 199-213 %8 01/2013 %G eng %1 06399579.pdf|06399579.pdf %& 199 %0 Conference Paper %B ISSCC %D 2012 %T A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC %A Fan Zhang %A Yanqing Zhang %A Jason Silver %A Yousef Shakhsheer %A Manohar Nagaraju %A Alicia Klinefelter %A Jagdish Pandey %A James Boley %A Eric Carlson %A Aatmesh Shrivastava %A Brian Otis %A Benton Calhoun %B ISSCC %C San Francisco %8 02/2012 %G eng %1 Zhang_ISSCC2012_Paper.pdf|Zhang_ISSCC2012_Paper.pdf %0 Journal Article %J Journal of Low Power Electronics and Applications %D 2011 %T Energy Efficient Design for Body Sensor Nodes %A Yanqing Zhang %A Yousef Shakhsheer %A Adam T. Barth %A Harry C. Powell Jr. %A Samuel A. Ridenour %A Mark A. Hanson %A John Lach %A Benton H. Calhoun %K body sensor networks %K energy efficient design %K sub-threshold circuits %K wearable computing %B Journal of Low Power Electronics and Applications %8 04/2011 %G eng %U http://www.mdpi.com/2079-9268/1/1/109/ %9 Review %1 Zhang_JLPEA2011.pdf|Zhang_JLPEA2011.pdf %0 Conference Paper %B ISQED %D 2010 %T Asymmetric 6T SRAM with Two-phase Write and Split Bitline Differential Sensing for Low Voltage Operation %A S. Nalam %A V. Chandra %A C. Pietrzyk %A R. C. Aitken %A B. H. Calhoun %B ISQED %P 139-146 %G eng %1 Nalam_ISQED2010_paper.pdf|Nalam_ISQED2010_paper.pdf %0 Conference Paper %B IEEE Sensors %D 2010 %T A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing %A J.B. Stocking %A W.C. Eberhardt %A Y.A. Shakhsheer %A J.R. Paulus %A M. Appleby %A B. H. Calhoun %B IEEE Sensors %G eng %0 Journal Article %J Proceedings of the IEEE %D 2010 %T Flexible Circuits and Architectures for Ultra Low Power %A Benton H. Calhoun %A Joseph Ryan %A Sudhanshu Khanna %A Mateja Putic %A John Lach %B Proceedings of the IEEE %V 98 %P 267-282 %8 02/2010 %G eng %1 Calhoun_ProcIEEE_Feb2010.pdf|Calhoun_ProcIEEE_Feb2010.pdf %0 Journal Article %J Journal of Solid State Electronics %D 2010 %T Impact of circuit assist methods on margin and performance in 6T SRAM %A R. W. Mann %A J. Wang %A S. Nalam %A S. Khanna %A G. Braceras %A H. Pilo %A B. H. Calhoun %K Process variation %K Read assist %K Scaling %K SNM %K SRAM %K Vmin %K Write assist %K Write margin %K Yield %B Journal of Solid State Electronics %I Elsevier %V 54 %P 1398-1407 %8 11/2010 %G eng %U http://www.sciencedirect.com/science?_ob=ArticleURL&_udi=B6TY5-50GTRCY-1&_user=709071&_coverDate=11%2F30%2F2010&_rdoc=1&_fmt=high&_orig=search&_sort=d&_docanchor=&view=c&_acct=C000039638&_version=1&_urlVersion=0&_userid=709071&md5=2d0ef46bf2e72b91309a5c16 %1 Mann_SSE2010.pdf|Mann_SSE2010.pdf %& 1398 %0 Conference Paper %B International Conference on Computer Design (ICCD) %D 2009 %T Panoptic DVS: A Fine-Grained Dynamic Voltage Scaling Framework for Energy Scalable CMOS Design %A Mateja Putic %A Liang Di %A Benton H. Calhoun %A and John Lach %B International Conference on Computer Design (ICCD) %P 491-497 %8 01/10/2009 %G eng %1 2009_Putic_ICCD.pdf|2009_Putic_ICCD.pdf %0 Journal Article %J Proceedings of the IEEE (Special Issue on Integrated Electronics: Beyond Moore’s Law) %D 2008 %T Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS %A Benton H. Calhoun %A Yu Cao, Xin Li %A Ken Mai %A Lawrence T. Pileggi %A Rob A. Rutenbar %A Kenneth L. Shepard %B Proceedings of the IEEE (Special Issue on Integrated Electronics: Beyond Moore’s Law) %V 96 %P 343-365 %8 02/2008 %G eng %1 CalhounEtAl_IEEEProc_08.pdf|CalhounEtAl_IEEEProc_08.pdf %0 Conference Paper %B International Conference on Computer Design, pages 605-611 %D 2008 %T Power Switch Characterization for Fine-Grained Dynamic Voltage Scaling %A Liang Di %A Mateja Putic %A John Lach %A Benton H. Calhoun %B International Conference on Computer Design, pages 605-611 %8 08/2008 %G eng %1 Di_ICCD2008.pdf|Di_ICCD2008.pdf