%0 Conference Paper %B 2017 IEEE Custom Integrated Circuits Conference (CICC) %D 2017 %T A 256kb 6T self-tuning SRAM with extended 0.38V–1.2V operating range using multiple read/write assists and VMIN tracking canary sensors %A A. Banerjee %A N. Liu %A H. N. Patel %A B. H. Calhoun %E J. Poulton %E C. T. Gray %B 2017 IEEE Custom Integrated Circuits Conference (CICC) %C Austin, TX, 2017 %G eng %1 CICC2017_SRAM.pdf|Banerjee_CICC2017.pdf %0 Conference Paper %B International Symposium on Quality Electronic Design (ISQED) %D 2014 %T A Reverse Write Assist Circuit for SRAM Dynamic Write VMIN Tracking using Canary SRAMs %A A. Banerjee %A M. Sinangil %A J. Poulton %A C. T. Gray %A B. H. Calhoun %B International Symposium on Quality Electronic Design (ISQED) %8 02,2014 %G eng %1 06783299.pdf|06783299.pdf