%0 Journal Article %J IEEE Transactions on Biomedical Circuits and Systems %D 2024 %T A 2.3-5.7μW Tri-Modal Self-Adaptive Photoplethysmography Sensor Interface IC for Heart Rate, SpO2 , and Pulse Transit Time Co-Monitoring %A Peng Wang %A Rishika Agarwala %A Natalie Ownby %A Xinjian Liu %A Benton H. Calhoun %B IEEE Transactions on Biomedical Circuits and Systems %G eng %0 Conference Paper %B 2024 IEEE International Solid-State Circuits Conference (ISSCC) %D 2024 %T A 6nA Fully-Autonomous Triple-Input Hybrid-Inductor-Capacitor Multi-Output Power Management System with Multi-Rail Energy Sharing, All-Rail Cold Startup, and Adaptive Conversion Control for mm-scale Distributed Systems %A Xinjian Liu %A Anjali Agrawal %A Akiyoshi Tanaka %A Benton H. Calhoun %B 2024 IEEE International Solid-State Circuits Conference (ISSCC) %8 2024 %G eng %0 Conference Paper %B 2023 IEEE Biomedical Circuits and Systems Conference %D 2023 %T A 1pJ/Bit Bypass-SPI Interconnect Bus with I2C Conversion Capability and 2.3nW Standby Power for Fabric Sensing Networks %A Xinjian Liu %A Zhenghong Chen %A Nugaira Gahan Mim %A Anjali Agrawal %A Benton H. Calhoun %B 2023 IEEE Biomedical Circuits and Systems Conference %G eng %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2021 %T A 0.6V 785-nW Multimodal Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring %A Rishika Agarwala %A Peng Wang %A Henry L Bishop %A Anjana Dissanayake %A Benton H. Calhoun %B IEEE Journal of Solid-State Circuits %G eng %R 10.1109/JSSC.2021.3057229 %0 Conference Paper %B 2021 IEEE Biomedical Circuits and Systems Conference (BioCAS) %D 2021 %T A 405nW/4.8μW Event-Driven Multi-Modal (V/I/R/C) Sensor Interface for Physiological and Environmental Co-Monitoring %A Rishika Agarwala %A Peng Wang %A Benton H. Calhoun %B 2021 IEEE Biomedical Circuits and Systems Conference (BioCAS) %G eng %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2021 %T A Crystal-Less BLE Transmitter with Clock Recovery from GFSK-Modulated BLE Packets %A Xing Chen %A Abdullah Alghaihab %A Yao Shi %A Daniel S. Truesdell %A Benton H. Calhoun %A David D. Wentzloff %B IEEE Journal of Solid-State Circuits %G eng %0 Conference Paper %B 2020 IEEE Symposium on VLSI Circuits (VLSI) %D 2020 %T A 785nW Multimodal (V/I/R) Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring %A Peng Wang %A Rishika Agarwala %A Henry L. Bishop %A Anjana Dissanayake %A Benton H. Calhoun %B 2020 IEEE Symposium on VLSI Circuits (VLSI) %G eng %0 Conference Paper %B ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) %D 2020 %T An 88.6nW Ozone Pollutant Sensing Interface IC with a 159 dB Dynamic Range %A Rishika Agarwala %A Peng Wang %A Akhilesh Tanneeru %A Bongmook Lee %A Veena Misra %A Benton H. Calhoun %B ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) %G eng %0 Journal Article %J Solid-State Electronics %D 2020 %T A comprehensive analysis of Auger generation impacted planar Tunnel FETs %A Sheikh Z. Ahmed %A Daniel S. Truesdell %A Yaohua Tan %A Benton H. Calhoun %A Avik W. Ghosh %B Solid-State Electronics %8 02/2020 %G eng %0 Conference Paper %B 2020 IEEE International Solid-State Circuits Conference (ISSCC) %D 2020 %T A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet %A Abdullah Alghaihab %A Xing Chen %A Yao Shi %A Daniel S. Truesdell %A Benton H. Calhoun %A David D. Wentzloff %B 2020 IEEE International Solid-State Circuits Conference (ISSCC) %8 02/2020 %G eng %0 Conference Proceedings %B IEEE Hot Chips 32 Symposium (HCS) %D 2020 %T Fully Autonomous Mixed Signal SoC Design & Layout Generation Platform %A Tutu Ajayi %A Yaswanth K Cherivirala %A Kyumin Kwon %A Sumanth Kamineni %A Mehdi Saligane %A Morteza Fayazi %A Shourya Gupta %A Chien-Hen Chen %A Dennis Sylvester %A David Blaauw %A Ronald Dreslinski Jr %A Benton H. Calhoun %A David D. Wentzloff %B IEEE Hot Chips 32 Symposium (HCS) %8 08/2020 %G eng %0 Journal Article %J IEEE Journal of Exploratory Solid-State Computational Devices and Circuits (JxCDC) %D 2020 %T Minimum-Energy Digital Computing with Steep Subthreshold Swing Tunnel FETs %A Daniel S. Truesdell %A Sheikh Z. Ahmed %A Avik W. Ghosh %A Benton H. Calhoun %B IEEE Journal of Exploratory Solid-State Computational Devices and Circuits (JxCDC) %G eng %0 Conference Paper %B 28th IFIP/IEEE International Conference on Very Large Scale Integration %D 2020 %T An Open-source Framework for Autonomous SoC Design with Analog Block Generation %A Tutu Ajayi %A Sumanth Kamineni %A Yaswanth K Cherivirala %A Morteza Fayazi %A Kyumin Kwon %A Mehdi Saligane %A Shourya Gupta %A Chien-Hen Chen %A Dennis Sylvester %A David Blaauw %A Ronald Dreslinski Jr %A Benton Calhoun %A David D. Wentzloff %K analog generator %K analog synthesis %K SoC generator %B 28th IFIP/IEEE International Conference on Very Large Scale Integration %C Salt Lake City, UT, USA. (Nominated for Best Paper Award) %8 10/2020 %G eng %0 Journal Article %J IEEE Transactions on Biomedical Circuits and Systems %D 2019 %T A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications %A Christopher J Lukas %A Farah B Yahya %A Jacob Breiholz %A Abhishek Roy %A Xing Chen %A Harsh N Patel %A NingXi Liu %A Avish Kosari %A Shuo Li %A Divya Akella Kamakshi %A Oluseyi Ayorinde %A David D Wentzloff %A Benton H Calhoun %B IEEE Transactions on Biomedical Circuits and Systems %8 01/2019 %G eng %R 10.1109/TBCAS.2019.2894775 %0 Journal Article %J IEEE Journal of Solid-State Circuits (JSSC) %D 2019 %T A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and Fast Start-Up Time %A NingXi Liu %A Rishika Agarwala %A Anjana Dissanayake %A Daniel S. Truesdell %A Summanth Kamineni %A Benton H. Calhoun %B IEEE Journal of Solid-State Circuits (JSSC) %8 06/2019 %G eng %0 Journal Article %J IEEE Journal of Solid-State Circuits %D 2019 %T Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping %A Abdullah Alghaihab %A Yao Shi %A Jacob Breiholz %A Hun-Seok Kim %A Benton H Calhoun %A David D Wentzloff %B IEEE Journal of Solid-State Circuits %8 04/2019 %G eng %0 Conference Paper %B IEEE European Solid-State Circuits Conference (ESSCIRC) %D 2019 %T A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator %A Omar Abdelatty %A Henry Bishop %A Yao Shi %A Xing Chen %A Abdullah Alghaihab %A Benton Calhoun %A David Wentzloff %B IEEE European Solid-State Circuits Conference (ESSCIRC) %I IEEE %C Cracow, Poland %8 09/2019 %G eng %0 Conference Paper %B IEEE European Solid-State Circuits Conference (ESSCIRC) %D 2018 %T A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 µs Start-Up Time %A NingXi Liu %A Rishika Agarwala %A Anjana Dissanayake %A Daniel S. Truesdell %A Sumanth Kamineni %A Xing Chen %A David D. Wentzloff %A Benton H. Calhoun %B IEEE European Solid-State Circuits Conference (ESSCIRC) %C Dresden, Germany %8 09/2018 %G eng %0 Conference Proceedings %B Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays %D 2018 %T FGC: A Tool-flow for Generating and Configuring Custom FPGAs %A Oluseyi Ayorinde %A He Qi %A Benton H. Calhoun %B Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays %I ACM %C Monterey, CA %8 02/2018 %G eng %0 Journal Article %J Journal of Applied Physics %D 2018 %T Modeling tunnel field effect transistors-from interface chemistry to non-idealities to circuit level performance %A Sheikh Z. Ahmed %A Yaohua Tan %A Daniel S. Truesdell %A Benton H. Calhoun %A Avik Ghosh %X

https://aip.scitation.org/doi/full/10.1063/1.5044434

%B Journal of Applied Physics %8 09/2018 %G eng %0 Conference Paper %B 5th Berkeley Symposium on Energy Efficient Electronics & Steep Transistors Workshop %D 2017 %T Auger Effect Limited Performance in Tunnel Field Effect Transistors %A Sheikh Z. Ahmed %A Yaohua Tan %A Daniel S. Truesdell %A Avik Ghosh %B 5th Berkeley Symposium on Energy Efficient Electronics & Steep Transistors Workshop %C Berkeley, CA %8 10/2017 %G eng %U https://ieeexplore.ieee.org/document/8246156/ %0 Conference Paper %B 2017 Symposium on VLSI Circuits %D 2017 %T A battery-less 507nW SoC with integrated platform power manager and SiP interfaces %A Farah Yahya %A Christopher J Lukas %A Jacob Breiholz %A Abhishek Roy %A Harsh N. Patel %A NingXi Liu %A Xing Chen %A Avish Kosari %A Shuo Li %A Divya Akella %A Oluseyi Ayorinde %A David D. Wentzloff %A Benton H. Calhoun %B 2017 Symposium on VLSI Circuits %I IEEE %C Kyoto, Japan %8 06/2017 %@ 978-4-86348-614-0 %G eng %1 PID4631669.pdf|PID4631669.pdf %R https://doi.org/10.23919/VLSIC.2017.8008532 %0 Conference Paper %B S3S 2017 %D 2017 %T An Ultra-Low-Power FPGA for IoT Applications %A He Qi %A Oluseyi Ayorinde %A Benton H. Calhoun %B S3S 2017 %G eng %0 Journal Article %J IEEE Journal of Solid-State Circuits (JSSC) %D 2016 %T A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply %A A. Shrivastava %A Akella, D. %A B. H. Calhoun %B IEEE Journal of Solid-State Circuits (JSSC) %V 51 %G eng %1 A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply.pdf|A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply.pdf %0 Journal Article %J Journal of Low Power Electronics and Applications (JLPEA) %D 2016 %T A 36nW, 7 ppm/oC Fully On-Chip Clock Source System for Ultra-Low Power Applications %A Akella, D. %A A. Shrivastava %A C. Duan %A B. H. Calhoun %B Journal of Low Power Electronics and Applications (JLPEA) %V 6 %G eng %1 A 36nW, 7 ppmoC Fully On-Chip Clock Source System for Ultra-Low Power Applications.pdf|A 36nW, 7 ppmoC Fully On-Chip Clock Source System for Ultra-Low Power Applications.pdf %0 Conference Paper %B International Conference on Field-Programmable Technology (ICFPT 2016) %D 2016 %T An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating %A He Qi %A Oluseyi Ayorinde %A Benton H. Calhoun %B International Conference on Field-Programmable Technology (ICFPT 2016) %I IEEE %C Xi’an, China %8 12/2016 %G eng %1 An Energy-Efficient Near-Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating.pdf|An Energy-Efficient Near-Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating.pdf %0 Conference Paper %B IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference %D 2015 %T A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V %A Divya Akella %A Aatmesh Shrivastava %A Benton H. Calhoun %B IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference %I IEEE %C Rohnert Park, CA %8 10/2015 %G eng %1 A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V.pdf|A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V.pdf %0 Conference Paper %B ISSCC %D 2015 %T A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios %A Alicia Klinefelter %A Nathan Roberts %A Yousef Shakhsheer %A Patricia Gonzalez %A Aatmesh Shrivastava %A Abhishek Roy %A Kyle Craig %A Muhammad Faisal %A James Boley %A Seunghyun Oh %A Yanqing Zhang %A Divya Akella %A David D. Wentzloff %A Benton Calhoun %B ISSCC %I IEEE %C San Francisco, CA %8 02/2015 %G eng %1 ISSCC_2015_klinefelter.pdf %0 Journal Article %J IEEE Transactions on Biomedical Circuits and Systems %D 2015 %T A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems %A Abhishek Roy %A Alicia Klinefelter %A Farah Yahya %A Xing Chen %A Patricia Gonzalez %A Christopher J Lukas %A Divya Akella %A James Boley %A Kyle Craig %A Muhammad Faisal %A Seunghyun Oh %A Nathan Roberts %A Yousef Shakhsheer %A Aatmesh Shrivastava %A Dilip Vasudevan %A David D. Wentzloff %A Benton Calhoun %B IEEE Transactions on Biomedical Circuits and Systems %V 9 %P 862-874 %8 12/2015 %G eng %1 TBioCAS2015_BSN_rev2.pdf %0 Conference Paper %B 2015 25th International Conference on Field Programmable Logic and Applications (FPL) %D 2015 %T Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs %A He Qi %A Oluseyi Ayorinde %A Yu Huang %A Benton Calhoun %B 2015 25th International Conference on Field Programmable Logic and Applications (FPL) %C London, UK %8 09/2015 %G eng %1 Optimizing Energy Efficient Low-Swing Interconnect for Sub-threshold FPGAs.pdf|Optimizing Energy Efficient Low-Swing Interconnect for Sub-threshold FPGAs.pdf %0 Conference Paper %B 25th International Conference on Field Programmable Logic and Applications (FPL) %D 2015 %T Using island-style bi-directional intra-CLB routing in low-power FPGAs %A Oluseyi Ayorinde %A He Qi %A Yu Huang %A Benton Calhoun %B 25th International Conference on Field Programmable Logic and Applications (FPL) %8 09/2015 %G eng %1 Using Island-Style Bi-Directional Intra-CLB Routing in Low-Power FPGAs.pdf|Using Island-Style Bi-Directional Intra-CLB Routing in Low-Power FPGAs.pdf %0 Journal Article %J Journal of Solid State Circuits %D 2014 %T A 32b 90nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation from Sub-threshold to High Performance %A Kyle Craig %A Yousef Shakhsheer %A Saad Arrabi %A Sudhanshu Khanna %A John Lach %A Benton H. Calhoun %B Journal of Solid State Circuits %G eng %1 06665019.pdf|06665019.pdf %0 Conference Paper %B ISLPED %D 2014 %T A Digital Dynamic Write Margin Sensor for Low Power Read/Write Operations in 28nm SRAM %A Peter Beshay %A Vikas Chandra %A Robert Aitken %A Benton H. Calhoun %B ISLPED %8 08/2014 %G eng %0 Conference Paper %B International Symposium on Field-Programmable Custom Computing Machines (FCCM) %D 2014 %T Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems %A S. Arrabi %A D. Moore %A L. Wang %A K. Skadron %A B. H. Calhoun %B International Symposium on Field-Programmable Custom Computing Machines (FCCM) %8 2014 %G eng %1 06861633.pdf|06861633.pdf %0 Conference Paper %B International Conference on IC Design and Technology (ICICDT) %D 2014 %T Modeling SRAM Dynamic VMIN %A Boley, J. %A V. Chandra %A R. Aitken %A B. H. Calhoun %B International Conference on IC Design and Technology (ICICDT) %8 06/2014 %G eng %0 Conference Paper %B Design Automation Conference (DAC) %D 2014 %T Self Calibrated Dynamic Write Margin Sensor for Low Power Read/Write Operations in Sub-32nm SRAM %A P. Beshay %A V. Chandra %A R. Aitken %A B. H. Calhoun %B Design Automation Conference (DAC) %G eng %0 Conference Paper %B Design Automation and Test Europe %D 2013 %T Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic VMIN %A James Boley %A Vikas Chandra %A Robert Aitken %A Benton Calhoun %B Design Automation and Test Europe %8 03/2013 %G eng %1 12.5_2_0693.pdf|12.5_2_0693.pdf %0 Conference Paper %B International Symposium on Low Power Electronics and Design %D 2012 %T A Programmable Resistive Power Grid for Post-Fabrication Flexibility and Energy Tradeoffs %A Kyle Craig %A Yousef Shakhsheer %A Sudhanshu Khanna %A Saad Arrabi %A John Lach %A Benton H. Calhoun %A Stephen Kosonocky %B International Symposium on Low Power Electronics and Design %G eng %1 Craig_ISLPED2012_Paper1.pdf|Craig_ISLPED2012_Paper1.pdf %0 Conference Paper %B Custom Integrated Circuits Conference %D 2011 %T A 90nm Data Flow Processor Demonstrating Fine Grained DVS for Energy Efficient Operation from 0.25V to 1.2V %A Y. Shakhsheer %A S. Khanna %A K. Craig %A S. Arrabi %A J. Lach %A B. H. Calhoun %B Custom Integrated Circuits Conference %C San Jose %8 09/2011 %G eng %1 PDVS_CICC2011_Final.pdf|PDVS_CICC2011_Final.pdf %0 Conference Paper %B Design Automation and Test Europe (DATE) %D 2011 %T Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAM %A S. Nalam %A V. Chandra %A R. C. Aitken %A B. H. Calhoun %B Design Automation and Test Europe (DATE) %8 03/2011 %G eng %1 Nalam_DATE2011_paper.PDF|Nalam_DATE2011_paper.PDF %0 Conference Paper %B ISQED %D 2011 %T Stepped Supply Voltage Switching for Energy Constrained Systems %A Sudhanshu Khanna %A Kyle Craig %A Yousef Shakhsheer %A Saad Arrabi %A John Lach %A Benton Calhoun %B ISQED %G eng %1 Khanna_ISQED2011_paper.pdf|Khanna_ISQED2011_paper.pdf %0 Conference Paper %B ISQED %D 2010 %T Asymmetric 6T SRAM with Two-phase Write and Split Bitline Differential Sensing for Low Voltage Operation %A S. Nalam %A V. Chandra %A C. Pietrzyk %A R. C. Aitken %A B. H. Calhoun %B ISQED %P 139-146 %G eng %1 Nalam_ISQED2010_paper.pdf|Nalam_ISQED2010_paper.pdf %0 Conference Paper %B IEEE Sensors %D 2010 %T A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing %A J.B. Stocking %A W.C. Eberhardt %A Y.A. Shakhsheer %A J.R. Paulus %A M. Appleby %A B. H. Calhoun %B IEEE Sensors %G eng %0 Conference Paper %B GOMAC Tech %D 2010 %T REESES: Rapid Efficient Energy Scalable ElectronicS %A B. H. Calhoun %A S. Arrabi %A S. Khanna %A Y. Shakhsheer %A K. Craig %A J. Ryan %A J. Lach %B GOMAC Tech %8 03/2010 %G eng %0 Journal Article %J Computer %D 2009 %T Body Area Sensor Networks: Challenges and Opportunities %A Hanson, M.A. %A Powell Jr, H.C. %A Barth, A.T. %A Ringgenberg, K. %A B. H. Calhoun %A Aylor, J.H. %A J. Lach %B Computer %I IEEE Computer Society Press Los Alamitos, CA, USA %V 42 %P 58–65 %8 1/2009 %G eng %1 Hanson_Computer2009.pdf|Hanson_Computer2009.pdf