TY - CONF T1 - Modeling Energy Aware Photoplethsmography for Personalized Healthcare Applications T2 - IEEE Transactions on Biomedical Circuits and Systems Y1 - 2022 A1 - Natalie Ownby A1 - Katheryn Flynn A1 - Benton H. Calhoun JF - IEEE Transactions on Biomedical Circuits and Systems ER - TY - CONF T1 - MemGen: An Open-Source Framework for Autonomous Generation of Memory Macros T2 - IEEE Custom Integrated Circuits Conference (CICC) Y1 - 2021 A1 - Sumanth Kamineni A1 - Shourya Gupta A1 - Benton H. Calhoun JF - IEEE Custom Integrated Circuits Conference (CICC) ER - TY - CONF T1 - Modeling Energy-Aware Photoplethysmography Hardware for Personalized Health Care Applications Across Skin Phototypes T2 - IEEE Biomedical Circuits and Systems Conference (BioCAS) Y1 - 2021 A1 - Katheryn Flynn A1 - Natalie Ownby A1 - Peng Wang A1 - Benton H. Calhoun JF - IEEE Biomedical Circuits and Systems Conference (BioCAS) ER - TY - JOUR T1 - Minimum-Energy Digital Computing with Steep Subthreshold Swing Tunnel FETs JF - IEEE Journal of Exploratory Solid-State Computational Devices and Circuits (JxCDC) Y1 - 2020 A1 - Daniel S. Truesdell A1 - Sheikh Z. Ahmed A1 - Avik W. Ghosh A1 - Benton H. Calhoun ER - TY - CONF T1 - A Multichannel, MEMS-less -99dBm 260nW Bit-level Duty Cycled Wakeup Receiver T2 - 2020 IEEE Symposium on VLSI Circuits (VLSI) Y1 - 2020 A1 - Anjana Dissanayake A1 - Henry L. Bishop A1 - Jesse Moody A1 - Henry Muhlbauer A1 - Benton H. Calhoun A1 - Steven M. Bowers JF - 2020 IEEE Symposium on VLSI Circuits (VLSI) ER - TY - JOUR T1 - Modeling tunnel field effect transistors-from interface chemistry to non-idealities to circuit level performance JF - Journal of Applied Physics Y1 - 2018 A1 - Sheikh Z. Ahmed A1 - Yaohua Tan A1 - Daniel S. Truesdell A1 - Benton H. Calhoun A1 - Avik Ghosh AB -

https://aip.scitation.org/doi/full/10.1063/1.5044434

ER - TY - Generic T1 - Multiple Combined Write-Read Peripheral Assists in 6T FinFET SRAMs for Low-VMIN IoT and Cognitive Applications T2 - Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED) Y1 - 2018 A1 - Arijit Banerjee A1 - Summanth Kamineni A1 - Benton H. Calhoun JF - Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED) PB - IEEE ER - TY - CONF T1 - Modeling Trans-threshold Correlations for Reducing Functional Test Time in Ultra-Low Power Systems T2 - 2017 IEEE International Test Conference (ITC) Y1 - 2017 A1 - Christopher J Lukas A1 - Farah B. Yahya A1 - Benton H. Calhoun JF - 2017 IEEE International Test Conference (ITC) PB - IEEE CY - Fort Worth, TX, USA ER - TY - CONF T1 - Modeling and Analysis of Power Supply Noise Tolerance with Fine-grained GALS Adaptive Clocks T2 - ASYNC Y1 - 2016 A1 - D. Kamakshi A1 - M. Fojtik A1 - B. Khailany A1 - S. Kudva A1 - Y. Zhou A1 - B. H. Calhoun JF - ASYNC U1 - Modeling and Analysis of Power Supply Noise Tolerance with Fine-grained GALS Adaptive Clocks.pdf|Modeling and Analysis of Power Supply Noise Tolerance with Fine-grained GALS Adaptive Clocks.pdf ER - TY - CONF T1 - Modeling SRAM Dynamic VMIN T2 - International Conference on IC Design and Technology (ICICDT) Y1 - 2014 A1 - Boley, J. A1 - V. Chandra A1 - R. Aitken A1 - B. H. Calhoun JF - International Conference on IC Design and Technology (ICICDT) ER - TY - CONF T1 - Modeling DC-DC Converter Efficiency and Power Management in Ultra Low Power Systems T2 - Subthreshold Microelectronics Conference Y1 - 2012 A1 - Aatmesh Shrivastava A1 - Benton H. Calhoun JF - Subthreshold Microelectronics Conference U1 - Shrivastava_sbvt12.pdf|Shrivastava_sbvt12.pdf ER - TY - JOUR T1 - Minimum Supply Voltage and Yield Estimation for Large SRAMs Under Parametric Variations JF - Transactions on VLSI Systems (TVLSI) Y1 - 2011 A1 - J. Wang A1 - B. H. Calhoun U1 - WangCalhoun_TVLSI_modeling_2011.pdf|WangCalhoun_TVLSI_modeling_2011.pdf ER - TY - CONF T1 - Mobile Health Monitoring Through Biotelemetry T2 - Bodynets Y1 - 2009 A1 - Andrew D. Jurik A1 - Jonathan Bolus A1 - Alf F. Weaver A1 - Benton H. Calhoun A1 - Travis N. Blalock. JF - Bodynets U1 - Jurik_BodyNets2009.pdf|Jurik_BodyNets2009.pdf ER - TY - CONF T1 - MSN: Memory Sensor for NBTI T2 - Techcon Y1 - 2009 A1 - Jerry Qi A1 - Jiajing Wang A1 - Benton H. Calhoun A1 - Mircea Stan JF - Techcon ER - TY - CONF T1 - Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-threshold Operation T2 - International Symposium on Quality Electronic Design Y1 - 2008 A1 - Joseph F. Ryan A1 - Benton H. Calhoun JF - International Symposium on Quality Electronic Design U1 - Ryan_ISQED2008_slides.pdf|Ryan_ISQED2008_slides.pdf ER - TY - CONF T1 - Micropower Wireless Sensors T2 - NSTI Nanotech Y1 - 2006 A1 - Anantha P. Chandrakasan A1 - Naveen Verma A1 - Joyce Kwong A1 - Denis Daly A1 - Nathan Ickes A1 - Daniel Finchelstein A1 - Benton H. Calhoun JF - NSTI Nanotech VL - 3 U1 - Chandrakasan_Nano06_submit.pdf|Chandrakasan_Nano06_submit.pdf ER - TY - JOUR T1 - Modeling and Sizing for Minimum Energy Operation in Sub-threshold Circuits JF - IEEE Journal of Solid-State Circuits (JSSC) Y1 - 2005 A1 - Benton H. Calhoun A1 - Alice Wang A1 - Anantha Chandrakasan VL - 40 U1 - Calhoun_JSSC05.pdf|Calhoun_JSSC05.pdf ER -