TY - JOUR T1 - A 2.3-5.7μW Tri-Modal Self-Adaptive Photoplethysmography Sensor Interface IC for Heart Rate, SpO2 , and Pulse Transit Time Co-Monitoring JF - IEEE Transactions on Biomedical Circuits and Systems Y1 - 2024 A1 - Peng Wang A1 - Rishika Agarwala A1 - Natalie Ownby A1 - Xinjian Liu A1 - Benton H. Calhoun ER - TY - CONF T1 - A 6nA Fully-Autonomous Triple-Input Hybrid-Inductor-Capacitor Multi-Output Power Management System with Multi-Rail Energy Sharing, All-Rail Cold Startup, and Adaptive Conversion Control for mm-scale Distributed Systems T2 - 2024 IEEE International Solid-State Circuits Conference (ISSCC) Y1 - 2024 A1 - Xinjian Liu A1 - Anjali Agrawal A1 - Akiyoshi Tanaka A1 - Benton H. Calhoun JF - 2024 IEEE International Solid-State Circuits Conference (ISSCC) ER - TY - CONF T1 - A 1pJ/Bit Bypass-SPI Interconnect Bus with I2C Conversion Capability and 2.3nW Standby Power for Fabric Sensing Networks T2 - 2023 IEEE Biomedical Circuits and Systems Conference Y1 - 2023 A1 - Xinjian Liu A1 - Zhenghong Chen A1 - Nugaira Gahan Mim A1 - Anjali Agrawal A1 - Benton H. Calhoun JF - 2023 IEEE Biomedical Circuits and Systems Conference ER - TY - JOUR T1 - A 0.6V 785-nW Multimodal Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring JF - IEEE Journal of Solid-State Circuits Y1 - 2021 A1 - Rishika Agarwala A1 - Peng Wang A1 - Henry L Bishop A1 - Anjana Dissanayake A1 - Benton H. Calhoun ER - TY - CONF T1 - A 405nW/4.8μW Event-Driven Multi-Modal (V/I/R/C) Sensor Interface for Physiological and Environmental Co-Monitoring T2 - 2021 IEEE Biomedical Circuits and Systems Conference (BioCAS) Y1 - 2021 A1 - Rishika Agarwala A1 - Peng Wang A1 - Benton H. Calhoun JF - 2021 IEEE Biomedical Circuits and Systems Conference (BioCAS) ER - TY - JOUR T1 - A Crystal-Less BLE Transmitter with Clock Recovery from GFSK-Modulated BLE Packets JF - IEEE Journal of Solid-State Circuits Y1 - 2021 A1 - Xing Chen A1 - Abdullah Alghaihab A1 - Yao Shi A1 - Daniel S. Truesdell A1 - Benton H. Calhoun A1 - David D. Wentzloff ER - TY - CONF T1 - A 785nW Multimodal (V/I/R) Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring T2 - 2020 IEEE Symposium on VLSI Circuits (VLSI) Y1 - 2020 A1 - Peng Wang A1 - Rishika Agarwala A1 - Henry L. Bishop A1 - Anjana Dissanayake A1 - Benton H. Calhoun JF - 2020 IEEE Symposium on VLSI Circuits (VLSI) ER - TY - CONF T1 - An 88.6nW Ozone Pollutant Sensing Interface IC with a 159 dB Dynamic Range T2 - ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) Y1 - 2020 A1 - Rishika Agarwala A1 - Peng Wang A1 - Akhilesh Tanneeru A1 - Bongmook Lee A1 - Veena Misra A1 - Benton H. Calhoun JF - ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) ER - TY - JOUR T1 - A comprehensive analysis of Auger generation impacted planar Tunnel FETs JF - Solid-State Electronics Y1 - 2020 A1 - Sheikh Z. Ahmed A1 - Daniel S. Truesdell A1 - Yaohua Tan A1 - Benton H. Calhoun A1 - Avik W. Ghosh ER - TY - CONF T1 - A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet T2 - 2020 IEEE International Solid-State Circuits Conference (ISSCC) Y1 - 2020 A1 - Abdullah Alghaihab A1 - Xing Chen A1 - Yao Shi A1 - Daniel S. Truesdell A1 - Benton H. Calhoun A1 - David D. Wentzloff JF - 2020 IEEE International Solid-State Circuits Conference (ISSCC) ER - TY - Generic T1 - Fully Autonomous Mixed Signal SoC Design & Layout Generation Platform T2 - IEEE Hot Chips 32 Symposium (HCS) Y1 - 2020 A1 - Tutu Ajayi A1 - Yaswanth K Cherivirala A1 - Kyumin Kwon A1 - Sumanth Kamineni A1 - Mehdi Saligane A1 - Morteza Fayazi A1 - Shourya Gupta A1 - Chien-Hen Chen A1 - Dennis Sylvester A1 - David Blaauw A1 - Ronald Dreslinski Jr A1 - Benton H. Calhoun A1 - David D. Wentzloff JF - IEEE Hot Chips 32 Symposium (HCS) ER - TY - JOUR T1 - Minimum-Energy Digital Computing with Steep Subthreshold Swing Tunnel FETs JF - IEEE Journal of Exploratory Solid-State Computational Devices and Circuits (JxCDC) Y1 - 2020 A1 - Daniel S. Truesdell A1 - Sheikh Z. Ahmed A1 - Avik W. Ghosh A1 - Benton H. Calhoun ER - TY - CONF T1 - An Open-source Framework for Autonomous SoC Design with Analog Block Generation T2 - 28th IFIP/IEEE International Conference on Very Large Scale Integration Y1 - 2020 A1 - Tutu Ajayi A1 - Sumanth Kamineni A1 - Yaswanth K Cherivirala A1 - Morteza Fayazi A1 - Kyumin Kwon A1 - Mehdi Saligane A1 - Shourya Gupta A1 - Chien-Hen Chen A1 - Dennis Sylvester A1 - David Blaauw A1 - Ronald Dreslinski Jr A1 - Benton Calhoun A1 - David D. Wentzloff KW - analog generator KW - analog synthesis KW - SoC generator JF - 28th IFIP/IEEE International Conference on Very Large Scale Integration CY - Salt Lake City, UT, USA. (Nominated for Best Paper Award) ER - TY - JOUR T1 - A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications JF - IEEE Transactions on Biomedical Circuits and Systems Y1 - 2019 A1 - Christopher J Lukas A1 - Farah B Yahya A1 - Jacob Breiholz A1 - Abhishek Roy A1 - Xing Chen A1 - Harsh N Patel A1 - NingXi Liu A1 - Avish Kosari A1 - Shuo Li A1 - Divya Akella Kamakshi A1 - Oluseyi Ayorinde A1 - David D Wentzloff A1 - Benton H Calhoun ER - TY - JOUR T1 - A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and Fast Start-Up Time JF - IEEE Journal of Solid-State Circuits (JSSC) Y1 - 2019 A1 - NingXi Liu A1 - Rishika Agarwala A1 - Anjana Dissanayake A1 - Daniel S. Truesdell A1 - Summanth Kamineni A1 - Benton H. Calhoun ER - TY - JOUR T1 - Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping JF - IEEE Journal of Solid-State Circuits Y1 - 2019 A1 - Abdullah Alghaihab A1 - Yao Shi A1 - Jacob Breiholz A1 - Hun-Seok Kim A1 - Benton H Calhoun A1 - David D Wentzloff ER - TY - CONF T1 - A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator T2 - IEEE European Solid-State Circuits Conference (ESSCIRC) Y1 - 2019 A1 - Omar Abdelatty A1 - Henry Bishop A1 - Yao Shi A1 - Xing Chen A1 - Abdullah Alghaihab A1 - Benton Calhoun A1 - David Wentzloff JF - IEEE European Solid-State Circuits Conference (ESSCIRC) PB - IEEE CY - Cracow, Poland ER - TY - CONF T1 - A 2.5 ppm/°C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 µs Start-Up Time T2 - IEEE European Solid-State Circuits Conference (ESSCIRC) Y1 - 2018 A1 - NingXi Liu A1 - Rishika Agarwala A1 - Anjana Dissanayake A1 - Daniel S. Truesdell A1 - Sumanth Kamineni A1 - Xing Chen A1 - David D. Wentzloff A1 - Benton H. Calhoun JF - IEEE European Solid-State Circuits Conference (ESSCIRC) CY - Dresden, Germany ER - TY - Generic T1 - FGC: A Tool-flow for Generating and Configuring Custom FPGAs T2 - Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays Y1 - 2018 A1 - Oluseyi Ayorinde A1 - He Qi A1 - Benton H. Calhoun JF - Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays PB - ACM CY - Monterey, CA ER - TY - JOUR T1 - Modeling tunnel field effect transistors-from interface chemistry to non-idealities to circuit level performance JF - Journal of Applied Physics Y1 - 2018 A1 - Sheikh Z. Ahmed A1 - Yaohua Tan A1 - Daniel S. Truesdell A1 - Benton H. Calhoun A1 - Avik Ghosh AB -

https://aip.scitation.org/doi/full/10.1063/1.5044434

ER - TY - CONF T1 - Auger Effect Limited Performance in Tunnel Field Effect Transistors T2 - 5th Berkeley Symposium on Energy Efficient Electronics & Steep Transistors Workshop Y1 - 2017 A1 - Sheikh Z. Ahmed A1 - Yaohua Tan A1 - Daniel S. Truesdell A1 - Avik Ghosh JF - 5th Berkeley Symposium on Energy Efficient Electronics & Steep Transistors Workshop CY - Berkeley, CA UR - https://ieeexplore.ieee.org/document/8246156/ ER - TY - CONF T1 - A battery-less 507nW SoC with integrated platform power manager and SiP interfaces T2 - 2017 Symposium on VLSI Circuits Y1 - 2017 A1 - Farah Yahya A1 - Christopher J Lukas A1 - Jacob Breiholz A1 - Abhishek Roy A1 - Harsh N. Patel A1 - NingXi Liu A1 - Xing Chen A1 - Avish Kosari A1 - Shuo Li A1 - Divya Akella A1 - Oluseyi Ayorinde A1 - David D. Wentzloff A1 - Benton H. Calhoun JF - 2017 Symposium on VLSI Circuits PB - IEEE CY - Kyoto, Japan SN - 978-4-86348-614-0 U1 - PID4631669.pdf|PID4631669.pdf ER - TY - CONF T1 - An Ultra-Low-Power FPGA for IoT Applications T2 - S3S 2017 Y1 - 2017 A1 - He Qi A1 - Oluseyi Ayorinde A1 - Benton H. Calhoun JF - S3S 2017 ER - TY - JOUR T1 - A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply JF - IEEE Journal of Solid-State Circuits (JSSC) Y1 - 2016 A1 - A. Shrivastava A1 - Akella, D. A1 - B. H. Calhoun VL - 51 U1 - A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply.pdf|A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply.pdf ER - TY - JOUR T1 - A 36nW, 7 ppm/oC Fully On-Chip Clock Source System for Ultra-Low Power Applications JF - Journal of Low Power Electronics and Applications (JLPEA) Y1 - 2016 A1 - Akella, D. A1 - A. Shrivastava A1 - C. Duan A1 - B. H. Calhoun VL - 6 U1 - A 36nW, 7 ppmoC Fully On-Chip Clock Source System for Ultra-Low Power Applications.pdf|A 36nW, 7 ppmoC Fully On-Chip Clock Source System for Ultra-Low Power Applications.pdf ER - TY - CONF T1 - An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating T2 - International Conference on Field-Programmable Technology (ICFPT 2016) Y1 - 2016 A1 - He Qi A1 - Oluseyi Ayorinde A1 - Benton H. Calhoun JF - International Conference on Field-Programmable Technology (ICFPT 2016) PB - IEEE CY - Xi’an, China U1 - An Energy-Efficient Near-Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating.pdf|An Energy-Efficient Near-Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating.pdf ER - TY - CONF T1 - A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V T2 - IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference Y1 - 2015 A1 - Divya Akella A1 - Aatmesh Shrivastava A1 - Benton H. Calhoun JF - IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference PB - IEEE CY - Rohnert Park, CA U1 - A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V.pdf|A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V.pdf ER - TY - CONF T1 - A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios T2 - ISSCC Y1 - 2015 A1 - Alicia Klinefelter A1 - Nathan Roberts A1 - Yousef Shakhsheer A1 - Patricia Gonzalez A1 - Aatmesh Shrivastava A1 - Abhishek Roy A1 - Kyle Craig A1 - Muhammad Faisal A1 - James Boley A1 - Seunghyun Oh A1 - Yanqing Zhang A1 - Divya Akella A1 - David D. Wentzloff A1 - Benton Calhoun JF - ISSCC PB - IEEE CY - San Francisco, CA U1 - ISSCC_2015_klinefelter.pdf ER - TY - JOUR T1 - A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems JF - IEEE Transactions on Biomedical Circuits and Systems Y1 - 2015 A1 - Abhishek Roy A1 - Alicia Klinefelter A1 - Farah Yahya A1 - Xing Chen A1 - Patricia Gonzalez A1 - Christopher J Lukas A1 - Divya Akella A1 - James Boley A1 - Kyle Craig A1 - Muhammad Faisal A1 - Seunghyun Oh A1 - Nathan Roberts A1 - Yousef Shakhsheer A1 - Aatmesh Shrivastava A1 - Dilip Vasudevan A1 - David D. Wentzloff A1 - Benton Calhoun VL - 9 U1 - TBioCAS2015_BSN_rev2.pdf ER - TY - CONF T1 - Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs T2 - 2015 25th International Conference on Field Programmable Logic and Applications (FPL) Y1 - 2015 A1 - He Qi A1 - Oluseyi Ayorinde A1 - Yu Huang A1 - Benton Calhoun JF - 2015 25th International Conference on Field Programmable Logic and Applications (FPL) CY - London, UK U1 - Optimizing Energy Efficient Low-Swing Interconnect for Sub-threshold FPGAs.pdf|Optimizing Energy Efficient Low-Swing Interconnect for Sub-threshold FPGAs.pdf ER - TY - CONF T1 - Using island-style bi-directional intra-CLB routing in low-power FPGAs T2 - 25th International Conference on Field Programmable Logic and Applications (FPL) Y1 - 2015 A1 - Oluseyi Ayorinde A1 - He Qi A1 - Yu Huang A1 - Benton Calhoun JF - 25th International Conference on Field Programmable Logic and Applications (FPL) U1 - Using Island-Style Bi-Directional Intra-CLB Routing in Low-Power FPGAs.pdf|Using Island-Style Bi-Directional Intra-CLB Routing in Low-Power FPGAs.pdf ER - TY - JOUR T1 - A 32b 90nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation from Sub-threshold to High Performance JF - Journal of Solid State Circuits Y1 - 2014 A1 - Kyle Craig A1 - Yousef Shakhsheer A1 - Saad Arrabi A1 - Sudhanshu Khanna A1 - John Lach A1 - Benton H. Calhoun U1 - 06665019.pdf|06665019.pdf ER - TY - CONF T1 - A Digital Dynamic Write Margin Sensor for Low Power Read/Write Operations in 28nm SRAM T2 - ISLPED Y1 - 2014 A1 - Peter Beshay A1 - Vikas Chandra A1 - Robert Aitken A1 - Benton H. Calhoun JF - ISLPED ER - TY - CONF T1 - Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems T2 - International Symposium on Field-Programmable Custom Computing Machines (FCCM) Y1 - 2014 A1 - S. Arrabi A1 - D. Moore A1 - L. Wang A1 - K. Skadron A1 - B. H. Calhoun JF - International Symposium on Field-Programmable Custom Computing Machines (FCCM) U1 - 06861633.pdf|06861633.pdf ER - TY - CONF T1 - Modeling SRAM Dynamic VMIN T2 - International Conference on IC Design and Technology (ICICDT) Y1 - 2014 A1 - Boley, J. A1 - V. Chandra A1 - R. Aitken A1 - B. H. Calhoun JF - International Conference on IC Design and Technology (ICICDT) ER - TY - CONF T1 - Self Calibrated Dynamic Write Margin Sensor for Low Power Read/Write Operations in Sub-32nm SRAM T2 - Design Automation Conference (DAC) Y1 - 2014 A1 - P. Beshay A1 - V. Chandra A1 - R. Aitken A1 - B. H. Calhoun JF - Design Automation Conference (DAC) ER - TY - CONF T1 - Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic VMIN T2 - Design Automation and Test Europe Y1 - 2013 A1 - James Boley A1 - Vikas Chandra A1 - Robert Aitken A1 - Benton Calhoun JF - Design Automation and Test Europe U1 - 12.5_2_0693.pdf|12.5_2_0693.pdf ER - TY - CONF T1 - A Programmable Resistive Power Grid for Post-Fabrication Flexibility and Energy Tradeoffs T2 - International Symposium on Low Power Electronics and Design Y1 - 2012 A1 - Kyle Craig A1 - Yousef Shakhsheer A1 - Sudhanshu Khanna A1 - Saad Arrabi A1 - John Lach A1 - Benton H. Calhoun A1 - Stephen Kosonocky JF - International Symposium on Low Power Electronics and Design U1 - Craig_ISLPED2012_Paper1.pdf|Craig_ISLPED2012_Paper1.pdf ER - TY - CONF T1 - A 90nm Data Flow Processor Demonstrating Fine Grained DVS for Energy Efficient Operation from 0.25V to 1.2V T2 - Custom Integrated Circuits Conference Y1 - 2011 A1 - Y. Shakhsheer A1 - S. Khanna A1 - K. Craig A1 - S. Arrabi A1 - J. Lach A1 - B. H. Calhoun JF - Custom Integrated Circuits Conference CY - San Jose U1 - PDVS_CICC2011_Final.pdf|PDVS_CICC2011_Final.pdf ER - TY - CONF T1 - Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAM T2 - Design Automation and Test Europe (DATE) Y1 - 2011 A1 - S. Nalam A1 - V. Chandra A1 - R. C. Aitken A1 - B. H. Calhoun JF - Design Automation and Test Europe (DATE) U1 - Nalam_DATE2011_paper.PDF|Nalam_DATE2011_paper.PDF ER - TY - CONF T1 - Stepped Supply Voltage Switching for Energy Constrained Systems T2 - ISQED Y1 - 2011 A1 - Sudhanshu Khanna A1 - Kyle Craig A1 - Yousef Shakhsheer A1 - Saad Arrabi A1 - John Lach A1 - Benton Calhoun JF - ISQED U1 - Khanna_ISQED2011_paper.pdf|Khanna_ISQED2011_paper.pdf ER - TY - CONF T1 - Asymmetric 6T SRAM with Two-phase Write and Split Bitline Differential Sensing for Low Voltage Operation T2 - ISQED Y1 - 2010 A1 - S. Nalam A1 - V. Chandra A1 - C. Pietrzyk A1 - R. C. Aitken A1 - B. H. Calhoun JF - ISQED U1 - Nalam_ISQED2010_paper.pdf|Nalam_ISQED2010_paper.pdf ER - TY - CONF T1 - A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing T2 - IEEE Sensors Y1 - 2010 A1 - J.B. Stocking A1 - W.C. Eberhardt A1 - Y.A. Shakhsheer A1 - J.R. Paulus A1 - M. Appleby A1 - B. H. Calhoun JF - IEEE Sensors ER - TY - CONF T1 - REESES: Rapid Efficient Energy Scalable ElectronicS T2 - GOMAC Tech Y1 - 2010 A1 - B. H. Calhoun A1 - S. Arrabi A1 - S. Khanna A1 - Y. Shakhsheer A1 - K. Craig A1 - J. Ryan A1 - J. Lach JF - GOMAC Tech ER - TY - JOUR T1 - Body Area Sensor Networks: Challenges and Opportunities JF - Computer Y1 - 2009 A1 - Hanson, M.A. A1 - Powell Jr, H.C. A1 - Barth, A.T. A1 - Ringgenberg, K. A1 - B. H. Calhoun A1 - Aylor, J.H. A1 - J. Lach PB - IEEE Computer Society Press Los Alamitos, CA, USA VL - 42 U1 - Hanson_Computer2009.pdf|Hanson_Computer2009.pdf ER -