@article {4726, title = {Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {04/2019}, author = {Abdullah Alghaihab and Yao Shi and Jacob Breiholz and Hun-Seok Kim and Benton H Calhoun and David D Wentzloff} } @conference {405, title = {An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating}, booktitle = {International Conference on Field-Programmable Technology (ICFPT 2016)}, year = {2016}, month = {12/2016}, publisher = {IEEE}, organization = {IEEE}, address = {Xi{\textquoteright}an, China}, author = {He Qi and Oluseyi Ayorinde and Benton H. Calhoun} } @conference {373, title = {Exploring Circuit Robustness to Power Supply Variation in Low-Voltage Latch and Register-Based Digital Systems}, booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Montreal, Canada}, author = {Abhishek Roy and Benton Calhoun} } @conference {353, title = {Error-Energy Analysis of Hardware Logarithmic Approximation Methods for Low Power Applications}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2015}, month = {05/2015}, author = {Klinefelter, A. and J. Ryan and Tschanz, J. and B. H. Calhoun} } @article {328, title = {Effect of Angle on Flow-Induced Vibrations of Pinniped Vibrissae}, journal = {PLOS One}, volume = {Vol. 8, No. 7}, year = {2013}, url = {http://dx.plos.org/10.1371/journal.pone.0069872}, author = {Christin T. Murphy and William C. Eberhardt and Benton H. Calhoun and Kenneth A. Mann and David A. Mann} } @article {256, title = {Energy Efficient Design for Body Sensor Nodes}, journal = {Journal of Low Power Electronics and Applications}, year = {2011}, month = {04/2011}, type = {Review}, keywords = {body sensor networks, energy efficient design, sub-threshold circuits, wearable computing}, issn = {2079-9268}, url = {http://www.mdpi.com/2079-9268/1/1/109/}, author = {Yanqing Zhang and Yousef Shakhsheer and Adam T. Barth and Harry C. Powell Jr. and Samuel A. Ridenour and Mark A. Hanson and John Lach and Benton H. Calhoun} } @article {251, title = {An Enhanced Canary-based System with BIST for SRAM Standby Power Reduction}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {Jiajing Wang and Alexander Hoefler and Benton H. Calhoun} } @article {68, title = {An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS}, journal = {IEEE Transactions on Circuits and Systems II}, volume = {57}, year = {2010}, month = {04/2010}, pages = {290-294}, author = {Stuart N. Wooters and Benton H. Calhoun and Travis N. Blalock} } @conference {81, title = {Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores}, booktitle = {TECHCON}, year = {2010}, month = {13/09/2010}, author = {Marisabel Guevara and M. D. Marino and J. Meng and P. Satyamoorthy and L. G. Szafaryn and Puqing Wu and Brett Meyer and Kevin Skadron and John Lach and Benton H. Calhoun} } @conference {20, title = {An Enhanced Adaptive Canary System for SRAM Standby Power Reduction}, booktitle = {TECHCON}, year = {2008}, month = {09/2008}, author = {Jiajing Wang and Benton H. Calhoun} } @conference {41, title = {Energy-Efficient Link Layer for Wireless Microsensor Networks}, booktitle = {IEEE Computer Society Workshop on VLSI}, year = {2001}, month = {04/2001}, pages = {16-21}, author = {Eugene Shih and Benton H. Calhoun and Seong-Hwan Cho and Anantha Chandrakasan} }