@article {4711, title = {A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2019}, month = {01/2019}, doi = {10.1109/TBCAS.2019.2894775}, author = {Christopher J Lukas and Farah B Yahya and Jacob Breiholz and Abhishek Roy and Xing Chen and Harsh N Patel and NingXi Liu and Avish Kosari and Shuo Li and Divya Akella Kamakshi and Oluseyi Ayorinde and David D Wentzloff and Benton H Calhoun} } @article {4731, title = {Interference Robust Detector-First Near-Zero Power Wake-Up Receiver}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {05/2019}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and NingXi Liu and N Scott Barker and Benton H Calhoun and Steven M Bowers} } @article {4716, title = {Nanowatt-Level Wakeup Receiver Front Ends Using MEMS Resonators for Impedance Transformation}, journal = {IEEE Transactions on Microwave Theory and Techniques}, year = {2019}, month = {02/2019}, doi = {10.1109/TMTT.2019.2894645}, author = {Pouyan Bassirian and Jesse Moody and Ruochen Lu and Anming Gao and Tom{\'a}s Manzaneque and Abhishek Roy and N Scott Barker and Benton H Calhoun and Songbin Gong and Steven M Bowers} } @article {4821, title = {A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated Maximum-Power-Point Tracking}, journal = {IEEE Solid-State Circuits Letters (SSCL), Special Issue on VLSI (invited paper)}, year = {2019}, month = {11/2019}, author = {Shuo Li and Abhishek Roy and Benton H. Calhoun} } @conference {4696, title = {A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated MPPT Achieving 417\% Energy-Extraction Improvement and 97\% Tracking Efficiency}, booktitle = {2019 Symposium on VLSI Circuits}, year = {2019}, month = {06/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, author = {Shuo Li and Abhishek Roy and Benton H. Calhoun} } @conference {4681, title = {A -76dBm 7.4 nW wakeup radio with automatic offset compensation}, booktitle = {International Solid-State Circuits Conference (ISSCC)}, year = {2018}, month = {02-2018}, publisher = {IEEE}, organization = {IEEE}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and NingXi Liu and Stephen Pancrazio and N. Scott Barker and Benton H. Calhoun and Steven M. Bowers} } @conference {424, title = {A 71\% Efficient Energy Harvesting and Power Management Unit for Sub-{\textmu}W Power Biomedical Applications}, booktitle = {2017 IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2017}, publisher = {IEEE}, organization = {IEEE}, address = {Turin, Italy}, author = {Abhishek Roy and Benton H. Calhoun} } @conference {425, title = {An 8.3 nW -72 dBm Event Driven IoE Wake Up Receiver RF Front End}, booktitle = {2017 European Microwave Integrated Circuit Conference (EuMIC)}, year = {2017}, address = {Nuremberg, Germany}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and Yukang Feng and Shuo Li and Robert Costanzo and N. Scott Barker and Benton H. Calhoun and Steven M. Bowers} } @conference {426, title = {A battery-less 507nW SoC with integrated platform power manager and SiP interfaces}, booktitle = {2017 Symposium on VLSI Circuits}, year = {2017}, month = {06/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, isbn = {978-4-86348-614-0}, doi = {https://doi.org/10.23919/VLSIC.2017.8008532}, author = {Farah Yahya and Christopher J Lukas and Jacob Breiholz and Abhishek Roy and Harsh N. Patel and NingXi Liu and Xing Chen and Avish Kosari and Shuo Li and Divya Akella and Oluseyi Ayorinde and David D. Wentzloff and Benton H. Calhoun} } @conference {371, title = {A 1.3μW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Santa Clara, CA}, author = {Abhishek Roy and Peter Grossmann and Steven Vitale and Benton Calhoun} } @conference {373, title = {Exploring Circuit Robustness to Power Supply Variation in Low-Voltage Latch and Register-Based Digital Systems}, booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Montreal, Canada}, author = {Abhishek Roy and Benton Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {370, title = {A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, volume = {9}, year = {2015}, month = {12/2015}, pages = {862-874}, author = {Abhishek Roy and Alicia Klinefelter and Farah Yahya and Xing Chen and Patricia Gonzalez and Christopher J Lukas and Divya Akella and James Boley and Kyle Craig and Muhammad Faisal and Seunghyun Oh and Nathan Roberts and Yousef Shakhsheer and Aatmesh Shrivastava and Dilip Vasudevan and David D. Wentzloff and Benton Calhoun} }