@conference {5111, title = {A -102dBm Sensitivity, 2.2{\textmu}A Packet-Level-Duty-cycled Wake-Up Receiver with ADPLL achieving -30dB SIR}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2023}, author = {Linsheng Zhang and Divya Duvvuri and Suprio Bhattacharya and Anjana Dissanayake and Xinjian Liu and Henry L. Bishop and Yaobin Zhang and Travis N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @article {5056, title = {A 184 nW, -78.3 dBm Sensitivity Antenna-Coupled Supply, Temperature, and Interference-Robust Wake-up Receiver at 4.9 GHz}, journal = {IEEE Transactions on Microwave Theory and Techniques}, year = {2022}, author = {Xiaochuan Shen and Divya Duvvuri and Pouyan Bassirian and Henry L. Bishop and Xinjian Liu and Anjana Dissanayake and Yaobin Zhang and Travis N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @conference {5021, title = {A 366 nW, -74.5 dBm Sensitivity Antenna-Coupled Wakeup Receiver at 4.9 GHz with Integrated Voltage Regulation and References}, booktitle = {IEEE MTT-S International Microwave Symposium (IMS)}, year = {2021}, address = {Atlanta, GA}, author = {Divya Duvvuri and X. Shen and P. Bassirian and Henry L. Bishop and Xinjian Liu and Chien-Hen Chen and Anjana Dissanayake and Yaobin Zhang and T. N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @conference {392, title = {Modeling and Analysis of Power Supply Noise Tolerance with Fine-grained GALS Adaptive Clocks}, booktitle = {ASYNC}, year = {2016}, author = {D. Kamakshi and M. Fojtik and B. Khailany and S. Kudva and Y. Zhou and B. H. Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {386, title = {Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing}, journal = {Proceedings of the IEEE}, volume = {103}, year = {2015}, month = {04/2015}, pages = {665-681}, chapter = {665}, author = {V. Misra and A. Bozkurt and B. Calhoun and T. Jackson and J. Jur and J. Lach and B. Lee and J. Muth and O. Oralkan and M. Ozturk and S. Trolier-McKinstry and D. Vashaee and D. Wentzloff and Y. Zhu} } @conference {346, title = {Fast, Accurate Variation-Aware Path Timing Computation for Sub-threshold Circuits}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2014}, month = {02,2014}, author = {Y. Zhang and B. H. Calhoun} } @conference {325, title = {A 0.6V 8 pJ/write Non-Volatile CBRAM Macro Embedded in a Body Sensor Node for Ultra Low Energy Applications}, booktitle = {Symposium on VLSI Circuits}, year = {2013}, month = {2013}, author = {Nad Gilbert and Yanqing Zhang and John Dinh and Benton Calhoun and Shane Hollmer} } @article {318, title = {A Batteryless 19 uW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications}, journal = {Journal of Solid State Circuits}, volume = {48}, year = {2013}, month = {01/2013}, pages = {199-213}, chapter = {199}, author = {Yanqing Zhang and Fan Zhang and Yousef Shakhsheer and Jason D. Silver and Alicia Klinefelter and Manohar Nagaraju and James Boley and Jagdish Pandey and Aatmesh Shrivastava and Eric J. Carlson and Austin Wood and Benton H. Calhoun and Brian P. Otis} } @conference {332, title = {Hold Time Closure for Subthreshold Circuits Using a Two-Phase, Latch Based Timing Method}, booktitle = {S3S Conference}, year = {2013}, month = {10/2013}, address = {Monterey, California}, author = {Yanqing Zhang and Benton H. Calhoun} } @conference {278, title = {A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC}, booktitle = {ISSCC}, year = {2012}, month = {02/2012}, address = {San Francisco}, author = {Fan Zhang and Yanqing Zhang and Jason Silver and Yousef Shakhsheer and Manohar Nagaraju and Alicia Klinefelter and Jagdish Pandey and James Boley and Eric Carlson and Aatmesh Shrivastava and Brian Otis and Benton Calhoun} } @proceedings {299, title = {A Custom Processor for Node and Power Management of a Battery-less Body Sensor Node in 130nm CMOS}, journal = {Custom Integrated Circuits Conference}, year = {2012}, month = {09/2012}, address = {San Jose}, author = {Y. Shakhsheer and Y. Zhang and B. Otis and B. H. Calhoun} } @article {319, title = {A Programmable 34 nW/Channel Sub-Threshold Signal Band Power Extractor on a Body Sensor Node SoC}, journal = {Circuits and Systems II: Express Briefs, IEEE Transactions on}, volume = {59}, year = {2012}, month = {12/2012}, pages = {941}, chapter = {937}, keywords = {Computer architecture, Electroencephalography, Energy harvesting, Finite impulse response filter, Low power electronics, Low voltage, System-on-a-chip}, issn = {1549-7747}, author = {Alicia Klinefelter and Yanqing Zhang and Brian Otis and Benton H. Calhoun} } @article {265, title = {Body Sensor Networks: A Holistic Approach From Silicon to Users}, journal = {IEEE Proceedings}, year = {2011}, type = {Proceedings Journal}, author = {Benton H. Calhoun and John Lach and John Stankovic and David D. Wentzloff and Kamin Whitehouse and Adam Barth and Jonathan K Brown and Qiang Li and Seunghyun Oh and Nathan Roberts and Yanqing Zhang} } @booklet {266, title = {The Cost of Fixing Hold Time Violations in Sub-threshold Circuits}, year = {2011}, author = {Yanqing Zhang and Benton H. Calhoun} } @article {256, title = {Energy Efficient Design for Body Sensor Nodes}, journal = {Journal of Low Power Electronics and Applications}, year = {2011}, month = {04/2011}, type = {Review}, keywords = {body sensor networks, energy efficient design, sub-threshold circuits, wearable computing}, issn = {2079-9268}, url = {http://www.mdpi.com/2079-9268/1/1/109/}, author = {Yanqing Zhang and Yousef Shakhsheer and Adam T. Barth and Harry C. Powell Jr. and Samuel A. Ridenour and Mark A. Hanson and John Lach and Benton H. Calhoun} } @conference {276, title = {A Sub-Threshold FPGA: Energy-Efficient Reconfigurable Logic}, booktitle = {GOMAC Tech}, year = {2011}, month = {03/2011}, author = {Benton H. Calhoun and Yanqing Zhang and Sudhanshu Khanna and Kyle Craig and Yousef Shakhsheer and John Lach} } @conference {73, title = {System Design Principles Combining Sub-threshold Circuits and Architectures with Energy Scavening Mechanisms}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2010}, month = {05/2010}, pages = {269-272}, address = {Paris, France}, url = {http://class6.ee.virginia.edu/bentemp/drupal/files/Calhoun_ISCAS2010.pdf}, author = {Benton H. Calhoun and Sudhanshu Khanna and Yanqing Zhang and Joseph Ryan and Brian Otis} }