@article {5176, title = {A 2.3-5.7μW Tri-Modal Self-Adaptive Photoplethysmography Sensor Interface IC for Heart Rate, SpO2 , and Pulse Transit Time Co-Monitoring}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2024}, author = {Peng Wang and Rishika Agarwala and Natalie Ownby and Xinjian Liu and Benton H. Calhoun} } @conference {5121, title = {A 33nW Fully Autonomous SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for mm-scale System-in-Fiber}, booktitle = {IEEE Transactions on Biomedical Circuits and Systems, Invited paper}, year = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @conference {5096, title = {A Self-Powered SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for System-in-Fiber}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2023}, month = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @article {5006, title = {A 0.6V 785-nW Multimodal Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, doi = {10.1109/JSSC.2021.3057229}, author = {Rishika Agarwala and Peng Wang and Henry L Bishop and Anjana Dissanayake and Benton H. Calhoun} } @conference {5026, title = {A 405nW/4.8μW Event-Driven Multi-Modal (V/I/R/C) Sensor Interface for Physiological and Environmental Co-Monitoring}, booktitle = {2021 IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2021}, author = {Rishika Agarwala and Peng Wang and Benton H. Calhoun} } @article {4961, title = {A Crystal-Less BLE Transmitter with Clock Recovery from GFSK-Modulated BLE Packets}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Xing Chen and Abdullah Alghaihab and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @conference {5051, title = {Modeling Energy-Aware Photoplethysmography Hardware for Personalized Health Care Applications Across Skin Phototypes}, booktitle = {IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2021}, author = {Katheryn Flynn and Natalie Ownby and Peng Wang and Benton H. Calhoun} } @conference {4886, title = {A 785nW Multimodal (V/I/R) Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, author = {Peng Wang and Rishika Agarwala and Henry L. Bishop and Anjana Dissanayake and Benton H. Calhoun} } @conference {4846, title = {An 85 nW IoT Node-Controlling SoC for MELs Power-Mode Management and Phantom Energy Reduction}, booktitle = {2020 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2020}, author = {Shuo Li and Jacob Breiholz and Sumanth Kamineni and Jaeho Im and David D. Wentzloff and Benton H. Calhoun} } @conference {4906, title = {An 88.6nW Ozone Pollutant Sensing Interface IC with a 159 dB Dynamic Range}, booktitle = {ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2020}, author = {Rishika Agarwala and Peng Wang and Akhilesh Tanneeru and Bongmook Lee and Veena Misra and Benton H. Calhoun} } @conference {4851, title = {Application-Driven Model of a PPG Sensing Modality for the Informed Design of Self-Powered, Wearable Healthcare Systems}, booktitle = {2020 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2020}, author = {Henry L. Bishop and Peng Wang and Benton H. Calhoun} } @conference {4826, title = {A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet}, booktitle = {2020 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2020}, month = {02/2020}, author = {Abdullah Alghaihab and Xing Chen and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @proceedings {4976, title = {Fully Autonomous Mixed Signal SoC Design \& Layout Generation Platform}, journal = {IEEE Hot Chips 32 Symposium (HCS)}, year = {2020}, month = {08/2020}, author = {Tutu Ajayi and Yaswanth K Cherivirala and Kyumin Kwon and Sumanth Kamineni and Mehdi Saligane and Morteza Fayazi and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton H. Calhoun and David D. Wentzloff} } @conference {4971, title = {An Open-source Framework for Autonomous SoC Design with Analog Block Generation}, booktitle = {28th IFIP/IEEE International Conference on Very Large Scale Integration}, year = {2020}, month = {10/2020}, address = {Salt Lake City, UT, USA. (Nominated for Best Paper Award)}, keywords = {analog generator, analog synthesis, SoC generator}, author = {Tutu Ajayi and Sumanth Kamineni and Yaswanth K Cherivirala and Morteza Fayazi and Kyumin Kwon and Mehdi Saligane and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton Calhoun and David D. Wentzloff} } @article {4711, title = {A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2019}, month = {01/2019}, doi = {10.1109/TBCAS.2019.2894775}, author = {Christopher J Lukas and Farah B Yahya and Jacob Breiholz and Abhishek Roy and Xing Chen and Harsh N Patel and NingXi Liu and Avish Kosari and Shuo Li and Divya Akella Kamakshi and Oluseyi Ayorinde and David D Wentzloff and Benton H Calhoun} } @article {4721, title = {Analysis and Design of an Ultra-Low-Power Bluetooth Low-Energy Transmitter With Ring Oscillator-Based ADPLL and 4 Frequency Edge Combiner}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {02/2019}, author = {Xing Chen and Jacob Breiholz and Farah B Yahya and Christopher J Lukas and Hun-Seok Kim and Benton H Calhoun and David D Wentzloff} } @article {4726, title = {Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {04/2019}, author = {Abdullah Alghaihab and Yao Shi and Jacob Breiholz and Hun-Seok Kim and Benton H Calhoun and David D Wentzloff} } @conference {4761, title = {A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2019}, month = {09/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Cracow, Poland}, author = {Omar Abdelatty and Henry Bishop and Yao Shi and Xing Chen and Abdullah Alghaihab and Benton Calhoun and David Wentzloff} } @article {4651, title = {A 0.5 V 68 nW ECG Monitoring Analog Front-End for Arrhythmia Diagnosis}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, year = {2018}, month = {08/2018}, author = {Avish Kosari and Jacob Breiholz and NingXi Liu and Benton H. Calhoun and David D. Wentzloff} } @conference {4641, title = {A 2.5 ppm/{\textdegree}C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 {\textmu}s Start-Up Time}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2018}, month = {09/2018}, address = {Dresden, Germany}, author = {NingXi Liu and Rishika Agarwala and Anjana Dissanayake and Daniel S. Truesdell and Sumanth Kamineni and Xing Chen and David D. Wentzloff and Benton H. Calhoun} } @conference {4666, title = {A 486 {\textmu}W All-Digital Bluetooth Low Energy Transmitter with Ring Oscillator Based ADPLL for IoT applications}, booktitle = {IEEE Radio Frequency Integrated Circuits Symposium (RFIC)}, year = {2018}, month = {06/2018}, author = {Xing Chen and Jacob Breiholz and Farah B. Yahya and Christopher J Lukas and Hun-Seok Kim and Benton H. Calhoun and David D. Wentzloff} } @conference {4736, title = {Lighting IoT Test Environment (LITE) Platform: Evaluating Light-Powered, Energy HarvestingEmbedded Systems}, booktitle = {Global Internet of Things Summit (GIoTS)}, year = {2018}, month = {06/2018}, author = {Henry L Bishop and Peng Wang and Dawei Fan and John Lach and Benton H Calhoun} } @conference {427, title = {A 4.4 nW Lossless Sensor Data Compression Accelerator for 2.9x System Power Reduction in Wireless Body Sensors}, booktitle = {2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS)}, year = {2017}, month = {08/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Boston, MA, USA}, author = {Jacob Breiholz and Farah Yahya and Christopher J Lukas and Xing Chen and Kevin Leach and David Wentzloff and Benton H. Calhoun} } @conference {426, title = {A battery-less 507nW SoC with integrated platform power manager and SiP interfaces}, booktitle = {2017 Symposium on VLSI Circuits}, year = {2017}, month = {06/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, isbn = {978-4-86348-614-0}, doi = {https://doi.org/10.23919/VLSIC.2017.8008532}, author = {Farah Yahya and Christopher J Lukas and Jacob Breiholz and Abhishek Roy and Harsh N. Patel and NingXi Liu and Xing Chen and Avish Kosari and Shuo Li and Divya Akella and Oluseyi Ayorinde and David D. Wentzloff and Benton H. Calhoun} } @conference {393, title = {A 236nW -56.5dBm Sensitivity Self-Powered Bluetooth Low-Energy Wakeup Receiver in 65nm CMOS}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2016}, author = {Roberts, N. E. and K. Craig and A. Shrivastava and S. N. Wooters and Y. Shakhsheer and B. H. Calhoun and Wentzloff, D. D.} } @article {385, title = {Development of an artificial sensor for hydrodynamic detection inspired by a seal{\textquoteright}s whisker array}, journal = {Bioinspiration and Biomimetics}, year = {2016}, author = {W. Eberhardt and B. Wakefield and C. Casey and C. Murphy and B. H. Calhoun and C. Reichmuth} } @article {388, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting with 220mV Cold-Start and -14.5dBm, 915MHz RF Kick-Start}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {50}, year = {2015}, month = {08/2015}, pages = {1820-1832}, author = {A. Shrivastava and Roberts, N. E. and O. U. Khan and Wentzloff, D. D. and B. H. Calhoun} } @conference {397, title = {A 32nW Bandgap Reference Voltage Operational from 0.5V Supply for Ultra-low Power Systems}, booktitle = {EEE International Solid-State Circuits Conference (ISSCC)}, year = {2015}, author = {A. Shrivastava and K. Craig and N. Roberts and Wentzloff, D. D. and B. H. Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {370, title = {A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, volume = {9}, year = {2015}, month = {12/2015}, pages = {862-874}, author = {Abhishek Roy and Alicia Klinefelter and Farah Yahya and Xing Chen and Patricia Gonzalez and Christopher J Lukas and Divya Akella and James Boley and Kyle Craig and Muhammad Faisal and Seunghyun Oh and Nathan Roberts and Yousef Shakhsheer and Aatmesh Shrivastava and Dilip Vasudevan and David D. Wentzloff and Benton Calhoun} } @article {386, title = {Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing}, journal = {Proceedings of the IEEE}, volume = {103}, year = {2015}, month = {04/2015}, pages = {665-681}, chapter = {665}, author = {V. Misra and A. Bozkurt and B. Calhoun and T. Jackson and J. Jur and J. Lach and B. Lee and J. Muth and O. Oralkan and M. Ozturk and S. Trolier-McKinstry and D. Vashaee and D. Wentzloff and Y. Zhu} } @conference {396, title = {Ultra Low Power Circuits and Systems for Self Powered Wireless Sensors}, booktitle = {GOMACTech}, year = {2015}, author = {Y. Shakhsheer and A. Shrivastava and N. Roberts and K. Craig and S. Wooters and Wentzloff, D. D. and B. H. Calhoun} } @conference {395, title = {Ultra-Low Power Wireless SoCs Enabling a Batteryless IoT}, booktitle = {HOT Chips}, year = {2015}, author = {B. H. Calhoun and Wentzloff, D. D.} } @conference {341, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric Energy Harvesting}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2014}, month = {2014}, author = {A. Shrivastava and D. Wentzloff and B. H. Calhoun} } @conference {344, title = {Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems}, booktitle = {International Symposium on Field-Programmable Custom Computing Machines (FCCM)}, year = {2014}, month = {2014}, author = {S. Arrabi and D. Moore and L. Wang and K. Skadron and B. H. Calhoun} } @article {318, title = {A Batteryless 19 uW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications}, journal = {Journal of Solid State Circuits}, volume = {48}, year = {2013}, month = {01/2013}, pages = {199-213}, chapter = {199}, author = {Yanqing Zhang and Fan Zhang and Yousef Shakhsheer and Jason D. Silver and Alicia Klinefelter and Manohar Nagaraju and James Boley and Jagdish Pandey and Aatmesh Shrivastava and Eric J. Carlson and Austin Wood and Benton H. Calhoun and Brian P. Otis} } @article {288, title = {Analyzing Sub-Threshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin}, journal = {Journal of Low Power Electronics and Applications}, year = {2012}, month = {04/2012}, author = {James Boley and Jiajing Wang and B. H. Calhoun} } @article {339, title = {Analyzing Sub-Threshold Bitcell Topologies and the Effects of Assist Methods on SRAM VMIN}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, volume = {2}, year = {2012}, month = {04/2012}, pages = {12}, chapter = {143}, author = {Boley, J. and J. Wang and B. H. Calhoun} } @conference {311, title = {Dark vs. Dim Silicon and Near-Threshold Computing}, booktitle = {Dark Silicon Workshop (DaSi)}, year = {2012}, month = {06/2012}, author = {Liang Wang and Kevin Skadron and Benton H. Calhoun} } @article {340, title = {Tracking On-Chip Age Using Distributed, Embedded Sensors}, journal = {Transactions on VLSI Systems (TVLSI)}, volume = {20}, year = {2012}, month = {11/2012}, pages = {12}, chapter = {1974}, author = {S. N. Wooters and A. C. Cabe and Z. Qi and J. Wang and R. W. Mann and B. H. Calhoun and M. R. Stan and T. N. Blalock} } @booklet {272, title = {Analyzing Subthreshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin}, year = {2011}, author = {Boley, J. and B. H. Calhoun and J. Wang} } @article {265, title = {Body Sensor Networks: A Holistic Approach From Silicon to Users}, journal = {IEEE Proceedings}, year = {2011}, type = {Proceedings Journal}, author = {Benton H. Calhoun and John Lach and John Stankovic and David D. Wentzloff and Kamin Whitehouse and Adam Barth and Jonathan K Brown and Qiang Li and Seunghyun Oh and Nathan Roberts and Yanqing Zhang} } @article {251, title = {An Enhanced Canary-based System with BIST for SRAM Standby Power Reduction}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {Jiajing Wang and Alexander Hoefler and Benton H. Calhoun} } @article {275, title = {Minimum Supply Voltage and Yield Estimation for Large SRAMs Under Parametric Variations}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {J. Wang and B. H. Calhoun} } @article {282, title = {Tracking On-Chip Age Using Distributed, Embedded Sensors}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {Stuart N. Wooters and A. C. Cabe and Z. Qi and J. Wang and R. W. Mann and B. H. Calhoun and M. R. Stan and Travis N. Blalock} } @article {68, title = {An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS}, journal = {IEEE Transactions on Circuits and Systems II}, volume = {57}, year = {2010}, month = {04/2010}, pages = {290-294}, author = {Stuart N. Wooters and Benton H. Calhoun and Travis N. Blalock} } @conference {81, title = {Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores}, booktitle = {TECHCON}, year = {2010}, month = {13/09/2010}, author = {Marisabel Guevara and M. D. Marino and J. Meng and P. Satyamoorthy and L. G. Szafaryn and Puqing Wu and Brett Meyer and Kevin Skadron and John Lach and Benton H. Calhoun} } @article {69, title = {Impact of circuit assist methods on margin and performance in 6T SRAM}, journal = {Journal of Solid State Electronics}, volume = {54}, year = {2010}, note = {published}, month = {11/2010}, pages = {1398-1407}, publisher = {Elsevier}, chapter = {1398}, keywords = {Process variation, Read assist, Scaling, SNM, SRAM, Vmin, Write assist, Write margin, Yield}, url = {http://www.sciencedirect.com/science?_ob=ArticleURL\&_udi=B6TY5-50GTRCY-1\&_user=709071\&_coverDate=11\%2F30\%2F2010\&_rdoc=1\&_fmt=high\&_orig=search\&_sort=d\&_docanchor=\&view=c\&_acct=C000039638\&_version=1\&_urlVersion=0\&_userid=709071\&md5=2d0ef46bf2e72b91309a5c16}, author = {R. W. Mann and J. Wang and S. Nalam and S. Khanna and G. Braceras and H. Pilo and B. H. Calhoun} } @conference {224, title = {Improving SRAM Vmin and Yield by Using Variation-Aware BTI Stress}, booktitle = {CICC}, year = {2010}, month = {09/2010}, address = {San Jose, CA}, author = {Jiajing Wang and Nalam, Satyanand and Jerry Qi and R. W. Mann and Mircea Stan and B. H. Calhoun} } @conference {53, title = {SRAM-Based NBTI/PBTI Sensor System Design}, booktitle = {Design Automation Conference (DAC)}, year = {2010}, month = {06/2010}, pages = {849-852}, address = {San Diego, CA}, author = {Jerry Qi and Jiajing Wang and Benton H. Calhoun and Mircea Stan} } @inbook {39, title = {Standby Supply Voltage Minimization for Reliable Nanoscale SRAMs}, booktitle = {Solid State Circuits Technologies}, year = {2010}, publisher = {INTECH}, organization = {INTECH}, chapter = {6}, isbn = {978-953-307-045-2}, url = {http://sciyo.com/articles/show/title/standby-supply-voltage-minimization-for-reliable-nanoscale-srams}, author = {Jiajing Wang and Benton H. Calhoun}, editor = {Jacobus W. Swart} } @article {250, title = {Two Fast Methods for Estimating the Minimum Standby Supply Voltage for Large SRAMs}, journal = {Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)}, volume = {29}, year = {2010}, month = {12/2010}, pages = {1908-1920}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @conference {13, title = {A 2.6-μW Sub-threshold Mixed-signal ECG SoC}, booktitle = {Symposium on VLSI Circuits}, year = {2009}, month = {6/15/2009}, author = {Steve Jocke and Jonathan Bolus and Stuart N. Wooters and Andrew D. Jurik and Alf F. Weaver and Travis N. Blalock and Benton H. Calhoun} } @conference {14, title = {Mobile Health Monitoring Through Biotelemetry}, booktitle = {Bodynets}, year = {2009}, month = {01/04/2009}, author = {Andrew D. Jurik and Jonathan Bolus and Alf F. Weaver and Benton H. Calhoun and Travis N. Blalock.} } @conference {230, title = {MSN: Memory Sensor for NBTI}, booktitle = {Techcon}, year = {2009}, month = {09/2009}, author = {Jerry Qi and Jiajing Wang and Benton H. Calhoun and Mircea Stan} } @conference {calhoun-sub, title = {Sub-threshold Circuit Design with Shrinking CMOS Devices}, booktitle = {International Symposium on Circuits and Systems}, year = {2009}, month = {3/24/2009}, author = {B. H. Calhoun and S. Khanna and Mann, R. and J. Wang} } @conference {15, title = {Sub-threshold Operation and Cross-Hierarchy Design for Ultra Low Power Wearable Sensors}, booktitle = {International Symposium on Circuits and Systems}, year = {2009}, month = {5/24/2009}, author = {Benton H. Calhoun and Jonathan Bolus and Sudhanshu Khanna and Andrew D. Jurik and Alf F. Weaver and Travis N. Blalock} } @conference {21, title = {Analyzing Static and Dynamic Write Margin for Nanometer SRAMs}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2008}, month = {08/2008}, pages = {129-134}, author = {J. Wang and S. Nalam and B. H. Calhoun} } @conference {20, title = {An Enhanced Adaptive Canary System for SRAM Standby Power Reduction}, booktitle = {TECHCON}, year = {2008}, month = {09/2008}, author = {Jiajing Wang and Benton H. Calhoun} } @conference {23, title = {Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design}, booktitle = {International Conference on VLSI Design, India}, year = {2008}, month = {00/01/2008}, pages = {131-136}, author = {Amith Singhee and Jiajing Wang and Benton H. Calhoun and Rob A. Rutenbar} } @article {17, title = {Techniques to Extend Canary-based Standby VDD Scaling for SRAMs to 45nm and Beyond}, journal = {IEEE Journal of Solid-State Circuits}, volume = {43}, year = {2008}, month = {11/2008}, pages = {2514-2523}, author = {Jiajing Wang and Benton H. Calhoun} } @conference {27, title = {Analyzing and Modeling Process Balance for Sub-threshold Circuit Design}, booktitle = {GLSVLSI}, year = {2007}, month = {00/03/2007}, pages = {275-280}, author = {Joseph F. Ryan and Jiajing Wang and Benton H. Calhoun} } @conference {24, title = {Canary Replica Feedback for Near-DRV Standby VDD Scaling in a 90nm SRAM}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2007}, month = {00/09/2007}, pages = {29-32}, author = {Jiajing Wang and Benton H. Calhoun} } @conference {25, title = {Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2007}, month = {00/09/2007}, pages = {400-403}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @conference {26, title = {Ultra-Dynamic Voltage Scaling for Energy Starved Electronics}, booktitle = {Proc. of GOMAC Tech}, year = {2007}, month = {00/03/2007}, author = {Alice Wang and Benton H. Calhoun and Naveen Verma and Joyce Kwong and Anantha Chandrakasan} } @inbook {87, title = {Low Energy Digital Circuit Design}, booktitle = {AmIware: Hardware Drivers of Ambient Intelligence}, year = {2006}, publisher = {Springer}, organization = {Springer}, author = {Benton H. Calhoun and Curt Schurgers and Alice Wang and Anantha Chandrakasan}, editor = {S. Mukherjee, E. Aarts, R. Roovers, F. Widdershoven, and M. Ouwerkerk} } @book {83, title = {Sub-threshold Design for Ultra Low-Power Systems}, year = {2006}, publisher = {Springer}, organization = {Springer}, author = {Alice Wang and Benton H. Calhoun, Anantha Chandrakasan} } @conference {52, title = {Sub-threshold Design: The Challenges of Minimizing Circuit Energy}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2006}, month = {10/2006}, pages = {366-368}, author = {Benton H. Calhoun and Alice Wang and Naveen Verma and Anantha Chandrakasan} } @article {57, title = {Design Considerations for Ultra-low Energy Wireless Microsensor Nodes}, journal = {IEEE Transactions on Computers}, volume = {54}, year = {2005}, month = {06/2005}, pages = {727-740}, author = {Benton H. Calhoun and Denis D. Daly and Naveen Verma and Daniel Finchelstein and David D. Wentzloff and Alice Wang and Seong-Hwan Cho and Anantha Chandrakasan} } @article {58, title = {Modeling and Sizing for Minimum Energy Operation in Sub-threshold Circuits}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {40}, year = {2005}, month = {09/2005}, pages = {1778-1786}, author = {Benton H. Calhoun and Alice Wang and Anantha Chandrakasan} } @conference {45, title = {Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes}, booktitle = {International Conference on VLSI Design}, year = {2004}, month = {01/2004}, pages = {361-367}, author = {David D. Wentzloff and Benton H. Calhoun and Rex Min and Alice Wang and Nathan Ickes and Anantha P. Chandrakasan} } @conference {47, title = {Device Sizing for Minimum Energy Operation in Subthreshold Circuits}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2004}, month = {10/2004}, pages = {95-98}, author = {Benton H. Calhoun and Alice Wang and Anantha Chandrakasan} }