@conference {5126, title = {A 6nA Fully-Autonomous Triple-Input Hybrid-Inductor-Capacitor Multi-Output Power Management System with Multi-Rail Energy Sharing, All-Rail Cold Startup, and Adaptive Conversion Control for mm-scale Distributed Systems}, booktitle = {2024 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2024}, month = {2024}, author = {Xinjian Liu and Anjali Agrawal and Akiyoshi Tanaka and Benton H. Calhoun} } @conference {5121, title = {A 33nW Fully Autonomous SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for mm-scale System-in-Fiber}, booktitle = {IEEE Transactions on Biomedical Circuits and Systems, Invited paper}, year = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @conference {5096, title = {A Self-Powered SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for System-in-Fiber}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2023}, month = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @conference {5071, title = {NanoWattch: A Self-Powered 3-nW RISC-V SoC Operable from 160mV Photovoltaic Input with Integrated Temperature Sensing and Adaptive Performance Scaling}, booktitle = {2022 IEEE Symposium on VLSI Circuits (VLSI), (Equally-Credited Authors)}, year = {2022}, author = {Daniel S. Truesdell and Xinjian Liu and Jacob Breiholz and Shourya Gupta and Shuo Li and Benton H. Calhoun} } @article {4956, title = {A 0.5V 560-kHz 18.8-fJ/Cycle On-Chip Oscillator with 96.1-ppm/{\textdegree}C Steady-State Stability Using a Duty-Cycled Digital Frequency-Locked Loop}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Daniel S. Truesdell and Shuo Li and Benton H. Calhoun} } @article {4961, title = {A Crystal-Less BLE Transmitter with Clock Recovery from GFSK-Modulated BLE Packets}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Xing Chen and Abdullah Alghaihab and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @conference {4981, title = {Graph Coloring using Coupled Oscillator-based Dynamical Systems}, booktitle = {2021 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2021}, author = {Antik Mallick and Mohammad Khairul Bashar and Daniel S. Truesdell and Benton H. Calhoun and Siddharth Joshi and Nikhil Shukla} } @conference {4871, title = {A 0.5V 560kHz 18.8fJ/Cycle Ultra-Low Energy Oscillator in 65nm CMOS with 96.1ppm/{\textdegree}C Stability Using a Duty-Cycled Digital Frequency-Locked Loop}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, month = {2020}, author = {Daniel S. Truesdell and Shuo Li and Benton H. Calhoun} } @conference {4856, title = {A -108dBm Sensitivity, -28dB SIR, 130nW to 41μW, Digitally Reconfigurable Bit-Level Duty-Cycled Wakeup and Data Receiver}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2020}, author = {Anjana Dissanayake and Jesse Moody and Henry L. Bishop and Daniel S. Truesdell and Henry Muhlbauer and Ruochen Lu and Anming Gao and Songbin Gong and Benton H. Calhoun and Steven M. Bowers} } @conference {4876, title = {A 65nm 16kb SRAM with 131.5pW Leakage at 0.9V for Wireless IoT Sensor Nodes}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, author = {Shourya Gupta and Daniel S. Truesdell and Benton H. Calhoun} } @conference {4906, title = {An 88.6nW Ozone Pollutant Sensing Interface IC with a 159 dB Dynamic Range}, booktitle = {ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2020}, author = {Rishika Agarwala and Peng Wang and Akhilesh Tanneeru and Bongmook Lee and Veena Misra and Benton H. Calhoun} } @article {4866, title = {A comprehensive analysis of Auger generation impacted planar Tunnel FETs}, journal = {Solid-State Electronics}, year = {2020}, month = {02/2020}, author = {Sheikh Z. Ahmed and Daniel S. Truesdell and Yaohua Tan and Benton H. Calhoun and Avik W. Ghosh} } @conference {4826, title = {A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet}, booktitle = {2020 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2020}, month = {02/2020}, author = {Abdullah Alghaihab and Xing Chen and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @article {4911, title = {Minimum-Energy Digital Computing with Steep Subthreshold Swing Tunnel FETs}, journal = {IEEE Journal of Exploratory Solid-State Computational Devices and Circuits (JxCDC)}, year = {2020}, author = {Daniel S. Truesdell and Sheikh Z. Ahmed and Avik W. Ghosh and Benton H. Calhoun} } @article {4926, title = {A Single-Supply 6-Transistor Voltage Level Converter Design Reaching 8.18-fJ/Transition at 0.3-1.2-V Range or 44-fW Leakage at 0.8-2.5-V Range}, journal = {IEEE Solid-State Circuits Letters (SSCL)}, year = {2020}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @conference {4791, title = {A Temperature-robust 27.6nW -65dBm Wakeup Receiver at 9.6GHz X Band}, booktitle = {2020 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2020}, month = {02/2020}, author = {Pouyan Bassirian and Divya Duvvuri and Daniel S. Truesdell and NingXi Liu and Benton H. Calhoun and Steven M. Bowers} } @article {4916, title = {Using synchronized oscillators to compute the maximum independent set}, journal = {Nature Communications}, year = {2020}, author = {Antik Mallick and M. K. Bashar and Daniel S. Truesdell and Benton H. Calhoun and Siddarth Joshi and Nikhil Shukla} } @article {4776, title = {A 0.6-V 44.6-fJ/Cycle Energy-Optimized Frequency-Locked Loop in 65-nm CMOS With 20.3-ppm/{\textdegree}C Stability}, journal = {IEEE Solid-State Circuits Letters (SSCL)}, year = {2019}, month = {10/2019}, author = {Daniel S. Truesdell and Anjana Dissanayake and Benton H. Calhoun} } @conference {4746, title = {A -106dBm 33nW Bit-Level Duty-Cycled Tuned RF Wake-up Receiver}, booktitle = {2019 Symposium on VLSI Circuits}, year = {2019}, month = {06/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, author = {Jesse Moody and Anjana Dissanayake and Henry Bishop and Ruochen Lu and NingXi Liu and Divya Duvvuri and Anming Gao and Daniel S. Truesdell and N. Scott Barker and Songbin Gong and Benton H. Calhoun and Steven M. Bowers} } @article {4701, title = {A 2.5 ppm/{\textdegree}C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and Fast Start-Up Time}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, year = {2019}, month = {06/2019}, author = {NingXi Liu and Rishika Agarwala and Anjana Dissanayake and Daniel S. Truesdell and Summanth Kamineni and Benton H. Calhoun} } @conference {4686, title = {A 640 pW 22 pJ/sample Gate Leakage-Based Digital CMOS Temperature Sensor with 0.25{\textdegree}C Resolution}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC) 2019}, year = {2019}, month = {04/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Austin, TX}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @article {4766, title = {A 6{\textendash}140-nW 11 Hz{\textendash}8.2-kHz DVFS RISC-V Microprocessor Using Scalable Dynamic Leakage-Suppression Logic}, journal = {IEEE Solid-State Circuits Letters (SSCL)}, year = {2019}, month = {08/2019}, url = {https://ieeexplore.ieee.org/document/8822384}, author = {Daniel S. Truesdell and Jacob Breiholz and Sumanth Kamineni and NingXi Liu and Albert Magyar and Benton H. Calhoun} } @article {4831, title = {A Highly Re-configurable Bit-level Duty Cycled TRF Receiver Achieving -106 dBm Sensitivity and 33 nW Average Power Consumption}, journal = {IEEE Solid-State Circuits Letters (SSCL), Special Issue on VLSI (invited paper)}, year = {2019}, month = {11/2019}, author = {Jesse Moody and Anjana Dissanayake and Henry L Bishop and Ruochen Lu and NingXi Liu and Divya Duvvuri and Anming Gao and Daniel S. Truesdell and N. Scott Barker and Songbin Gong and Benton H. Calhoun and Steven M. Bowers} } @conference {4751, title = {Improving Dynamic Leakage Suppression Logic with Forward Body Bias in 65nm CMOS}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, year = {2019}, month = {10/2019}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @conference {4641, title = {A 2.5 ppm/{\textdegree}C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 {\textmu}s Start-Up Time}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2018}, month = {09/2018}, address = {Dresden, Germany}, author = {NingXi Liu and Rishika Agarwala and Anjana Dissanayake and Daniel S. Truesdell and Sumanth Kamineni and Xing Chen and David D. Wentzloff and Benton H. Calhoun} } @conference {4636, title = {Channel Length Sizing for Power Minimization in Leakage-Dominated Digital Circuits}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, year = {2018}, month = {10/2018}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @article {4646, title = {Modeling tunnel field effect transistors-from interface chemistry to non-idealities to circuit level performance}, journal = {Journal of Applied Physics}, year = {2018}, month = {09/2018}, abstract = {

https://aip.scitation.org/doi/full/10.1063/1.5044434

}, author = {Sheikh Z. Ahmed and Yaohua Tan and Daniel S. Truesdell and Benton H. Calhoun and Avik Ghosh} } @conference {433, title = {Auger Effect Limited Performance in Tunnel Field Effect Transistors}, booktitle = {5th Berkeley Symposium on Energy Efficient Electronics \& Steep Transistors Workshop}, year = {2017}, month = {10/2017}, address = {Berkeley, CA}, url = {https://ieeexplore.ieee.org/document/8246156/}, author = {Sheikh Z. Ahmed and Yaohua Tan and Daniel S. Truesdell and Avik Ghosh} } @conference {353, title = {Error-Energy Analysis of Hardware Logarithmic Approximation Methods for Low Power Applications}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2015}, month = {05/2015}, author = {Klinefelter, A. and J. Ryan and Tschanz, J. and B. H. Calhoun} } @article {386, title = {Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing}, journal = {Proceedings of the IEEE}, volume = {103}, year = {2015}, month = {04/2015}, pages = {665-681}, chapter = {665}, author = {V. Misra and A. Bozkurt and B. Calhoun and T. Jackson and J. Jur and J. Lach and B. Lee and J. Muth and O. Oralkan and M. Ozturk and S. Trolier-McKinstry and D. Vashaee and D. Wentzloff and Y. Zhu} }