@conference {5121, title = {A 33nW Fully Autonomous SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for mm-scale System-in-Fiber}, booktitle = {IEEE Transactions on Biomedical Circuits and Systems, Invited paper}, year = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @conference {5096, title = {A Self-Powered SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for System-in-Fiber}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2023}, month = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @article {4711, title = {A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2019}, month = {01/2019}, doi = {10.1109/TBCAS.2019.2894775}, author = {Christopher J Lukas and Farah B Yahya and Jacob Breiholz and Abhishek Roy and Xing Chen and Harsh N Patel and NingXi Liu and Avish Kosari and Shuo Li and Divya Akella Kamakshi and Oluseyi Ayorinde and David D Wentzloff and Benton H Calhoun} } @article {4731, title = {Interference Robust Detector-First Near-Zero Power Wake-Up Receiver}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {05/2019}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and NingXi Liu and N Scott Barker and Benton H Calhoun and Steven M Bowers} } @article {4716, title = {Nanowatt-Level Wakeup Receiver Front Ends Using MEMS Resonators for Impedance Transformation}, journal = {IEEE Transactions on Microwave Theory and Techniques}, year = {2019}, month = {02/2019}, doi = {10.1109/TMTT.2019.2894645}, author = {Pouyan Bassirian and Jesse Moody and Ruochen Lu and Anming Gao and Tom{\'a}s Manzaneque and Abhishek Roy and N Scott Barker and Benton H Calhoun and Songbin Gong and Steven M Bowers} } @conference {4696, title = {A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated MPPT Achieving 417\% Energy-Extraction Improvement and 97\% Tracking Efficiency}, booktitle = {2019 Symposium on VLSI Circuits}, year = {2019}, month = {06/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, author = {Shuo Li and Abhishek Roy and Benton H. Calhoun} } @article {4821, title = {A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated Maximum-Power-Point Tracking}, journal = {IEEE Solid-State Circuits Letters (SSCL), Special Issue on VLSI (invited paper)}, year = {2019}, month = {11/2019}, author = {Shuo Li and Abhishek Roy and Benton H. Calhoun} } @conference {4681, title = {A -76dBm 7.4 nW wakeup radio with automatic offset compensation}, booktitle = {International Solid-State Circuits Conference (ISSCC)}, year = {2018}, month = {02-2018}, publisher = {IEEE}, organization = {IEEE}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and NingXi Liu and Stephen Pancrazio and N. Scott Barker and Benton H. Calhoun and Steven M. Bowers} } @conference {424, title = {A 71\% Efficient Energy Harvesting and Power Management Unit for Sub-{\textmu}W Power Biomedical Applications}, booktitle = {2017 IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2017}, publisher = {IEEE}, organization = {IEEE}, address = {Turin, Italy}, author = {Abhishek Roy and Benton H. Calhoun} } @conference {425, title = {An 8.3 nW -72 dBm Event Driven IoE Wake Up Receiver RF Front End}, booktitle = {2017 European Microwave Integrated Circuit Conference (EuMIC)}, year = {2017}, address = {Nuremberg, Germany}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and Yukang Feng and Shuo Li and Robert Costanzo and N. Scott Barker and Benton H. Calhoun and Steven M. Bowers} } @conference {426, title = {A battery-less 507nW SoC with integrated platform power manager and SiP interfaces}, booktitle = {2017 Symposium on VLSI Circuits}, year = {2017}, month = {06/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, isbn = {978-4-86348-614-0}, doi = {https://doi.org/10.23919/VLSIC.2017.8008532}, author = {Farah Yahya and Christopher J Lukas and Jacob Breiholz and Abhishek Roy and Harsh N. Patel and NingXi Liu and Xing Chen and Avish Kosari and Shuo Li and Divya Akella and Oluseyi Ayorinde and David D. Wentzloff and Benton H. Calhoun} } @conference {371, title = {A 1.3μW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Santa Clara, CA}, author = {Abhishek Roy and Peter Grossmann and Steven Vitale and Benton Calhoun} } @conference {393, title = {A 236nW -56.5dBm Sensitivity Self-Powered Bluetooth Low-Energy Wakeup Receiver in 65nm CMOS}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2016}, author = {Roberts, N. E. and K. Craig and A. Shrivastava and S. N. Wooters and Y. Shakhsheer and B. H. Calhoun and Wentzloff, D. D.} } @conference {390, title = {A 55nm Ultra Low Leakage Deeply Depleted Channel Technology Optimized for Energy Minimization in Subthreshold SRAM and Logic}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2016}, author = {H. N. Patel and Roy, A. and F. B. Yahya and N. Liu and K. Kumeno and M. Yasuda and A. Harada and T. Ema and B. H. Calhoun} } @article {385, title = {Development of an artificial sensor for hydrodynamic detection inspired by a seal{\textquoteright}s whisker array}, journal = {Bioinspiration and Biomimetics}, year = {2016}, author = {W. Eberhardt and B. Wakefield and C. Casey and C. Murphy and B. H. Calhoun and C. Reichmuth} } @conference {373, title = {Exploring Circuit Robustness to Power Supply Variation in Low-Voltage Latch and Register-Based Digital Systems}, booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Montreal, Canada}, author = {Abhishek Roy and Benton Calhoun} } @conference {389, title = {A Tunnel FET Design for High-Current, 120 mV Operation}, booktitle = {IEDM}, year = {2016}, author = {P. Long and J. Z. Huang and M. Povolotskyi and D. Verreck and J. Charles and T. Kubis and G. Klimeck and M. J.W. Rodwell and B. H. Calhoun} } @article {388, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting with 220mV Cold-Start and -14.5dBm, 915MHz RF Kick-Start}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {50}, year = {2015}, month = {08/2015}, pages = {1820-1832}, author = {A. Shrivastava and Roberts, N. E. and O. U. Khan and Wentzloff, D. D. and B. H. Calhoun} } @conference {397, title = {A 32nW Bandgap Reference Voltage Operational from 0.5V Supply for Ultra-low Power Systems}, booktitle = {EEE International Solid-State Circuits Conference (ISSCC)}, year = {2015}, author = {A. Shrivastava and K. Craig and N. Roberts and Wentzloff, D. D. and B. H. Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {370, title = {A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, volume = {9}, year = {2015}, month = {12/2015}, pages = {862-874}, author = {Abhishek Roy and Alicia Klinefelter and Farah Yahya and Xing Chen and Patricia Gonzalez and Christopher J Lukas and Divya Akella and James Boley and Kyle Craig and Muhammad Faisal and Seunghyun Oh and Nathan Roberts and Yousef Shakhsheer and Aatmesh Shrivastava and Dilip Vasudevan and David D. Wentzloff and Benton Calhoun} } @conference {353, title = {Error-Energy Analysis of Hardware Logarithmic Approximation Methods for Low Power Applications}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2015}, month = {05/2015}, author = {Klinefelter, A. and J. Ryan and Tschanz, J. and B. H. Calhoun} } @conference {396, title = {Ultra Low Power Circuits and Systems for Self Powered Wireless Sensors}, booktitle = {GOMACTech}, year = {2015}, author = {Y. Shakhsheer and A. Shrivastava and N. Roberts and K. Craig and S. Wooters and Wentzloff, D. D. and B. H. Calhoun} } @conference {343, title = {A 1.2μW SIMO Energy Harvesting and Power Management Unit with Constant Peak Inductor Current Control Achieving 83-92\% Efficiency Across Wide Input and Output Voltages}, booktitle = {Symposium on VLSI Circuits}, year = {2014}, month = {2014}, author = {A. Shrivastava and Y. K. Ramadass and S. Khanna and S. Bartling and B. H. Calhoun} } @article {326, title = {A Digital Auto-Zeroing Circuit to Reduce Offset in Sub-threshold Sense Amplifiers}, journal = {Journal of Low Power Electronics and Applications}, year = {2013}, month = {05/2013}, type = {Journal Article}, url = {http://www.mdpi.com/2079-9268/3/2/159}, author = {Peter Beshay and Joseph F. Ryan and Benton H. Calhoun} } @conference {305, title = {Sub-threshold Sense Amplifier Compensation Using Auto-zeroing Circuitry}, booktitle = {Subthreshold Microelectronics Conference}, year = {2012}, month = {10/2012}, author = {Peter Beshay and Joseph F. Ryan and Benton H. Calhoun} } @conference {285, title = {An Analytical Model for Performance Yield of Nanoscale SRAM Accounting for the Sense Amplifier Strobe Signal}, booktitle = {Internation Symposium on Lower Power Electronics and Design (ISLPED)}, year = {2011}, author = {J. F. Ryan and S. Khanna and B. H. Calhoun} } @article {265, title = {Body Sensor Networks: A Holistic Approach From Silicon to Users}, journal = {IEEE Proceedings}, year = {2011}, type = {Proceedings Journal}, author = {Benton H. Calhoun and John Lach and John Stankovic and David D. Wentzloff and Kamin Whitehouse and Adam Barth and Jonathan K Brown and Qiang Li and Seunghyun Oh and Nathan Roberts and Yanqing Zhang} } @article {256, title = {Energy Efficient Design for Body Sensor Nodes}, journal = {Journal of Low Power Electronics and Applications}, year = {2011}, month = {04/2011}, type = {Review}, keywords = {body sensor networks, energy efficient design, sub-threshold circuits, wearable computing}, issn = {2079-9268}, url = {http://www.mdpi.com/2079-9268/1/1/109/}, author = {Yanqing Zhang and Yousef Shakhsheer and Adam T. Barth and Harry C. Powell Jr. and Samuel A. Ridenour and Mark A. Hanson and John Lach and Benton H. Calhoun} } @article {62, title = {Flexible Circuits and Architectures for Ultra Low Power}, journal = {Proceedings of the IEEE}, volume = {98}, year = {2010}, month = {02/2010}, pages = {267-282}, author = {Benton H. Calhoun and Joseph Ryan and Sudhanshu Khanna and Mateja Putic and John Lach} } @conference {77, title = {REESES: Rapid Efficient Energy Scalable ElectronicS}, booktitle = {GOMAC Tech}, year = {2010}, month = {03/2010}, author = {B. H. Calhoun and S. Arrabi and S. Khanna and Y. Shakhsheer and K. Craig and J. Ryan and J. Lach} } @conference {79, title = {A Sub-Threshold FPGA with Low-Swing Dual-VDD Interconnect in 90nm CMOS}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2010}, month = {20/09/2010}, author = {Joseph F. Ryan and Benton H. Calhoun} } @conference {73, title = {System Design Principles Combining Sub-threshold Circuits and Architectures with Energy Scavening Mechanisms}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2010}, month = {05/2010}, pages = {269-272}, address = {Paris, France}, url = {http://class6.ee.virginia.edu/bentemp/drupal/files/Calhoun_ISCAS2010.pdf}, author = {Benton H. Calhoun and Sudhanshu Khanna and Yanqing Zhang and Joseph Ryan and Brian Otis} } @article {250, title = {Two Fast Methods for Estimating the Minimum Standby Supply Voltage for Large SRAMs}, journal = {Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)}, volume = {29}, year = {2010}, month = {12/2010}, pages = {1908-1920}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @article {10, title = {Body Area Sensor Networks: Challenges and Opportunities}, journal = {Computer}, volume = {42}, number = {1}, year = {2009}, month = {1/2009}, pages = {58{\textendash}65}, publisher = {IEEE Computer Society Press Los Alamitos, CA, USA}, author = {Hanson, M.A. and Powell Jr, H.C. and Barth, A.T. and Ringgenberg, K. and B. H. Calhoun and Aylor, J.H. and J. Lach} } @inbook {85, title = {Optimizing Power @ Design Time {\textendash} Memory}, booktitle = {Low Power Design Essentials}, year = {2009}, author = {Benton H. Calhoun and Jan Rabaey}, editor = {Jan Rabaey} } @inbook {86, title = {Optimizing Power @ Standby {\textendash} Memory}, booktitle = {Low Power Design Essentials}, year = {2009}, author = {Benton H. Calhoun and Jan Rabaey}, editor = {Jan Rabaey} } @conference {36, title = {A Technology-Agnostic Simulation Environment (TASE) for Iterative Custom IC Design across Processes}, booktitle = {ICCD}, year = {2009}, pages = {523-528}, author = {S. Nalam and M. Bhargava and Ringgenberg, K. and K. Mai and B. H. Calhoun} } @article {18, title = {Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS}, journal = {Proceedings of the IEEE (Special Issue on Integrated Electronics: Beyond Moore{\textquoteright}s Law)}, volume = {96}, year = {2008}, month = {02/2008}, pages = {343-365}, author = {Benton H. Calhoun and Yu Cao, Xin Li and Ken Mai and Lawrence T. Pileggi and Rob A. Rutenbar and Kenneth L. Shepard} } @conference {22, title = {Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-threshold Operation}, booktitle = {International Symposium on Quality Electronic Design}, year = {2008}, month = {03/2008}, pages = {127-132}, author = {Joseph F. Ryan and Benton H. Calhoun} } @conference {23, title = {Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design}, booktitle = {International Conference on VLSI Design, India}, year = {2008}, month = {00/01/2008}, pages = {131-136}, author = {Amith Singhee and Jiajing Wang and Benton H. Calhoun and Rob A. Rutenbar} } @conference {27, title = {Analyzing and Modeling Process Balance for Sub-threshold Circuit Design}, booktitle = {GLSVLSI}, year = {2007}, month = {00/03/2007}, pages = {275-280}, author = {Joseph F. Ryan and Jiajing Wang and Benton H. Calhoun} } @conference {25, title = {Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2007}, month = {00/09/2007}, pages = {400-403}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} }