@conference {4971, title = {An Open-source Framework for Autonomous SoC Design with Analog Block Generation}, booktitle = {28th IFIP/IEEE International Conference on Very Large Scale Integration}, year = {2020}, month = {10/2020}, address = {Salt Lake City, UT, USA. (Nominated for Best Paper Award)}, keywords = {analog generator, analog synthesis, SoC generator}, author = {Tutu Ajayi and Sumanth Kamineni and Yaswanth K Cherivirala and Morteza Fayazi and Kyumin Kwon and Mehdi Saligane and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton Calhoun and David D. Wentzloff} } @conference {4761, title = {A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2019}, month = {09/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Cracow, Poland}, author = {Omar Abdelatty and Henry Bishop and Yao Shi and Xing Chen and Abdullah Alghaihab and Benton Calhoun and David Wentzloff} } @conference {371, title = {A 1.3μW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Santa Clara, CA}, author = {Abhishek Roy and Peter Grossmann and Steven Vitale and Benton Calhoun} } @conference {373, title = {Exploring Circuit Robustness to Power Supply Variation in Low-Voltage Latch and Register-Based Digital Systems}, booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Montreal, Canada}, author = {Abhishek Roy and Benton Calhoun} } @conference {372, title = {Optimizing SRAM Bitcell Reliability and Energy for IoT Applications}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Santa Clara, CA}, author = {Harsh Patel and Farah Yahya and Benton Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {370, title = {A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, volume = {9}, year = {2015}, month = {12/2015}, pages = {862-874}, author = {Abhishek Roy and Alicia Klinefelter and Farah Yahya and Xing Chen and Patricia Gonzalez and Christopher J Lukas and Divya Akella and James Boley and Kyle Craig and Muhammad Faisal and Seunghyun Oh and Nathan Roberts and Yousef Shakhsheer and Aatmesh Shrivastava and Dilip Vasudevan and David D. Wentzloff and Benton Calhoun} } @conference {366, title = {Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs}, booktitle = {2015 25th International Conference on Field Programmable Logic and Applications (FPL)}, year = {2015}, month = {09/2015}, address = {London, UK}, author = {He Qi and Oluseyi Ayorinde and Yu Huang and Benton Calhoun} } @conference {367, title = {Using island-style bi-directional intra-CLB routing in low-power FPGAs}, booktitle = {25th International Conference on Field Programmable Logic and Applications (FPL)}, year = {2015}, month = {09/2015}, author = {Oluseyi Ayorinde and He Qi and Yu Huang and Benton Calhoun} } @conference {325, title = {A 0.6V 8 pJ/write Non-Volatile CBRAM Macro Embedded in a Body Sensor Node for Ultra Low Energy Applications}, booktitle = {Symposium on VLSI Circuits}, year = {2013}, month = {2013}, author = {Nad Gilbert and Yanqing Zhang and John Dinh and Benton Calhoun and Shane Hollmer} } @conference {321, title = {Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic VMIN}, booktitle = {Design Automation and Test Europe}, year = {2013}, month = {03/2013}, author = {James Boley and Vikas Chandra and Robert Aitken and Benton Calhoun} } @conference {322, title = {Virtual Prototyping (ViPro) Tool for Memory Subsystem Design Exploration and Optimization}, booktitle = {SRC TECHCON}, year = {2013}, month = {09/2013}, author = {James Boley and Peter Beshay and Benton Calhoun} } @conference {278, title = {A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC}, booktitle = {ISSCC}, year = {2012}, month = {02/2012}, address = {San Francisco}, author = {Fan Zhang and Yanqing Zhang and Jason Silver and Yousef Shakhsheer and Manohar Nagaraju and Alicia Klinefelter and Jagdish Pandey and James Boley and Eric Carlson and Aatmesh Shrivastava and Brian Otis and Benton Calhoun} } @conference {287, title = {A Charge Pump Based Receiver Circuit for a Voltage Scaled Interconnect}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Aatmesh Shrivastava and John Lach and Benton Calhoun} } @conference {247, title = {New category of ultra-thin notchless 6T SRAM cell layout topologies for sub-22nm}, booktitle = {ISQED}, year = {2011}, author = {Randy Mann and Benton Calhoun} } @conference {246, title = {Stepped Supply Voltage Switching for Energy Constrained Systems}, booktitle = {ISQED}, year = {2011}, author = {Sudhanshu Khanna and Kyle Craig and Yousef Shakhsheer and Saad Arrabi and John Lach and Benton Calhoun} } @conference {44, title = {Standby Voltage Scaling for Reduced Power}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2003}, month = {09/2003}, pages = {639-642}, author = {Benton Calhoun and Anantha Chandrakasan} } @conference {42, title = {Fast Algorithm for Clock Grid Simulation}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2002}, month = {09/2002}, pages = {771-774}, author = {Paul Sotiriadis and Olivier Franza and Dan Bailey and Benton Calhoun and D. Lin and Anantha Chandrakasan} }