@article {61, title = {A 256kb 65nm Sub-threshold SRAM Design for Ultra-low Voltage Operation}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {42}, year = {2007}, month = {03/2007}, pages = {680-688}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {26, title = {Ultra-Dynamic Voltage Scaling for Energy Starved Electronics}, booktitle = {Proc. of GOMAC Tech}, year = {2007}, month = {00/03/2007}, author = {Alice Wang and Benton H. Calhoun and Naveen Verma and Joyce Kwong and Anantha Chandrakasan} } @conference {50, title = {A 256kb Sub-threshold SRAM in 65nm CMOS}, year = {2006}, month = {02/2006}, pages = {628-629}, address = {IEEE International Solid-State Circuits Conference}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @inbook {87, title = {Low Energy Digital Circuit Design}, booktitle = {AmIware: Hardware Drivers of Ambient Intelligence}, year = {2006}, publisher = {Springer}, organization = {Springer}, author = {Benton H. Calhoun and Curt Schurgers and Alice Wang and Anantha Chandrakasan}, editor = {S. Mukherjee, E. Aarts, R. Roovers, F. Widdershoven, and M. Ouwerkerk} } @inbook {88, title = {Power Gating and Dynamic Voltage Scaling}, booktitle = {Leakage in Nanometer Technologies}, year = {2006}, pages = {41-75}, publisher = {Springer}, organization = {Springer}, author = {Benton H. Calhoun and James Kao, and Anantha Chandrakasan}, editor = {Siva Narendra and Anantha Chandrakasan} } @article {60, title = {Static Noise Margin Variation for Sub-threshold SRAM in 65nm CMOS}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {41}, year = {2006}, month = {07/2006}, pages = {1673-1679}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {52, title = {Sub-threshold Design: The Challenges of Minimizing Circuit Energy}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2006}, month = {10/2006}, pages = {366-368}, author = {Benton H. Calhoun and Alice Wang and Naveen Verma and Anantha Chandrakasan} } @article {59, title = {Ultra-Dynamic Voltage Scaling (UDVS) Using Sub-threshold Operation and Local Voltage Dithering}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {41}, year = {2006}, month = {01/2006}, pages = {238-245}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {49, title = {Analyzing Static Noise Margin for Sub-threshold SRAM in 65nm CMOS}, booktitle = {European Solid-State Circuits Conference}, year = {2005}, month = {09/2005}, pages = {363-366}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @article {57, title = {Design Considerations for Ultra-low Energy Wireless Microsensor Nodes}, journal = {IEEE Transactions on Computers}, volume = {54}, year = {2005}, month = {06/2005}, pages = {727-740}, author = {Benton H. Calhoun and Denis D. Daly and Naveen Verma and Daniel Finchelstein and David D. Wentzloff and Alice Wang and Seong-Hwan Cho and Anantha Chandrakasan} } @article {58, title = {Modeling and Sizing for Minimum Energy Operation in Sub-threshold Circuits}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {40}, year = {2005}, month = {09/2005}, pages = {1778-1786}, author = {Benton H. Calhoun and Alice Wang and Anantha Chandrakasan} } @conference {48, title = {Ultra-Dynamic Voltage Scaling Using Sub-threshold Operation and Local Voltage Dithering in 90nm CMOS}, booktitle = {International Solid State Circuits Conference (ISSCC)}, year = {2005}, month = {02/2005}, pages = {300-301}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {46, title = {Characterizing and Modeling Minimum Energy Operation for Subthreshold Circuits}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2004}, month = {08/2004}, pages = {90-95}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @article {54, title = {Design Considerations for Energy-Efficient Radios in Wireless Microsensor Networks}, journal = {Journal of VLSI Signal Processing}, volume = {37}, year = {2004}, month = {05/2004}, pages = {77-94}, author = {Eugene Shih and Seong-Hwan Cho and Fred S. Lee and Benton H. Calhoun and Anantha Chandrakasan} } @conference {47, title = {Device Sizing for Minimum Energy Operation in Subthreshold Circuits}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2004}, month = {10/2004}, pages = {95-98}, author = {Benton H. Calhoun and Alice Wang and Anantha Chandrakasan} } @article {55, title = {A Leakage Reduction Methodology for Distributed MTCMOS}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {39}, year = {2004}, month = {05/2004}, pages = {818-826}, author = {Benton H. Calhoun and Frank.A. Honore and Anantha Chandrakasan} } @article {56, title = {Standby Power Reduction Using Dynamic Voltage Scaling and Flip-Flop Structures}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {39}, year = {2004}, month = {09/2004}, pages = {1504-1511}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {43, title = {Design Methodology for Fine-Grained Leakage Control in MTCMOS}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2003}, month = {08/2003}, pages = {104-109}, author = {Benton H. Calhoun and Frank A. Honore and Anantha Chandrakasan} } @conference {44, title = {Standby Voltage Scaling for Reduced Power}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2003}, month = {09/2003}, pages = {639-642}, author = {Benton Calhoun and Anantha Chandrakasan} } @conference {42, title = {Fast Algorithm for Clock Grid Simulation}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2002}, month = {09/2002}, pages = {771-774}, author = {Paul Sotiriadis and Olivier Franza and Dan Bailey and Benton Calhoun and D. Lin and Anantha Chandrakasan} } @conference {41, title = {Energy-Efficient Link Layer for Wireless Microsensor Networks}, booktitle = {IEEE Computer Society Workshop on VLSI}, year = {2001}, month = {04/2001}, pages = {16-21}, author = {Eugene Shih and Benton H. Calhoun and Seong-Hwan Cho and Anantha Chandrakasan} }