@article {5176, title = {A 2.3-5.7μW Tri-Modal Self-Adaptive Photoplethysmography Sensor Interface IC for Heart Rate, SpO2 , and Pulse Transit Time Co-Monitoring}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2024}, author = {Peng Wang and Rishika Agarwala and Natalie Ownby and Xinjian Liu and Benton H. Calhoun} } @conference {5126, title = {A 6nA Fully-Autonomous Triple-Input Hybrid-Inductor-Capacitor Multi-Output Power Management System with Multi-Rail Energy Sharing, All-Rail Cold Startup, and Adaptive Conversion Control for mm-scale Distributed Systems}, booktitle = {2024 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2024}, month = {2024}, author = {Xinjian Liu and Anjali Agrawal and Akiyoshi Tanaka and Benton H. Calhoun} } @article {5166, title = {A Sub-{\textmu}W Energy-Performance-Aware IoT SoC with a Triple-Mode Power Management Unit for System Performance Scaling, Fast DVFS, and Energy Minimization}, journal = {IEEE Journal of Solid-State Circuits}, year = {2024}, author = {Xinjian Liu and Sumanth Kamineni and Jacob Breiholz and Benton H. Calhoun and Shuo Li} } @conference {5111, title = {A -102dBm Sensitivity, 2.2{\textmu}A Packet-Level-Duty-cycled Wake-Up Receiver with ADPLL achieving -30dB SIR}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2023}, author = {Linsheng Zhang and Divya Duvvuri and Suprio Bhattacharya and Anjana Dissanayake and Xinjian Liu and Henry L. Bishop and Yaobin Zhang and Travis N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @conference {5131, title = {A 10-Channel, 1.2 {\textmu}W, Reconfigurable Capacitanceto-Digital Converter for Low-Power, Wearable Healthcare Applications}, booktitle = {2023 IEEE Biomedical Circuits and Systems Conference}, year = {2023}, author = {Omar Faruqe and Daehyun Lee and Natalie Ownby and Benton H. Calhoun} } @conference {5116, title = {A 1pJ/Bit Bypass-SPI Interconnect Bus with I2C Conversion Capability and 2.3nW Standby Power for Fabric Sensing Networks}, booktitle = {2023 IEEE Biomedical Circuits and Systems Conference}, year = {2023}, author = {Xinjian Liu and Zhenghong Chen and Nugaira Gahan Mim and Anjali Agrawal and Benton H. Calhoun} } @conference {5121, title = {A 33nW Fully Autonomous SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for mm-scale System-in-Fiber}, booktitle = {IEEE Transactions on Biomedical Circuits and Systems, Invited paper}, year = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @conference {5106, title = {AuxcellGen: A Framework for Autonomous Generation of Analog and Memory Unit Cells}, booktitle = {Design, Automation and Test in Europe Conference (DATE), 2023}, year = {2023}, month = {04/2023}, author = {Sumanth Kamineni and Arvind Sharma and Ramesh Harjani and Sachin S. Sapatnekar and Benton H. Calhoun} } @conference {5136, title = {Scalable All-Analog LDOs With Reduced Input Offset Variability Using Digital Synthesis Flow in 65-nm CMOS}, booktitle = {IEEE Transactions on Very Large Scale Integration (VLSI) Systems}, year = {2023}, author = {Shourya Gupta and Shuo Li and Benton H. Calhoun} } @conference {5096, title = {A Self-Powered SoC with Distributed Cooperative Energy Harvesting and Multi-Chip Power Management for System-in-Fiber}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2023}, month = {2023}, author = {Xinjian Liu and Daniel S. Truesdell and Omar Faruqe and Lalitha Parameswaran and Michael Rickley and Andrew Kopanski and Lauren Cantley and Austin Coon and Matthew Bernasconi and Tairan Wang and Benton H. Calhoun} } @article {5056, title = {A 184 nW, -78.3 dBm Sensitivity Antenna-Coupled Supply, Temperature, and Interference-Robust Wake-up Receiver at 4.9 GHz}, journal = {IEEE Transactions on Microwave Theory and Techniques}, year = {2022}, author = {Xiaochuan Shen and Divya Duvvuri and Pouyan Bassirian and Henry L. Bishop and Xinjian Liu and Anjana Dissanayake and Yaobin Zhang and Travis N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @conference {5046, title = {A 194nW Energy-Performance-Aware IoT SoC Employing a 5.2nW 92.6\% Peak Efficiency Power Management Unit for System Performance Scaling, Fast DVFS and Energy Minimization}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2022}, author = {Xinjian Liu and Sumanth Kamineni and Jacob Breiholz and Benton H. Calhoun and Shuo Li} } @conference {5041, title = {A 32nA Fully Autonomous Multi-Input Single-Inductor Multi-Output Energy Harvesting and Power Management Platform with 1.2{\texttimes}10^5 Dynamic Range, Integrated MPPT, and Multi-Modal Cold Start-Up}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2022}, author = {Shuo Li and Xinjian Liu and Benton H. Calhoun} } @conference {5086, title = {A 956pW Switched-Capacitor Sub-Bandgap Reference with 0.44-to-3.3V Supply Range, -67dB PSRR, and 0.2\% within-Wafer Inaccuracy for Nanowatt IoT Systems}, booktitle = {2022 IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2022}, author = {Shuo Li and Xinjian Liu and Benton H. Calhoun} } @conference {5091, title = {Modeling Energy Aware Photoplethsmography for Personalized Healthcare Applications}, booktitle = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2022}, author = {Natalie Ownby and Katheryn Flynn and Benton H. Calhoun} } @conference {5071, title = {NanoWattch: A Self-Powered 3-nW RISC-V SoC Operable from 160mV Photovoltaic Input with Integrated Temperature Sensing and Adaptive Performance Scaling}, booktitle = {2022 IEEE Symposium on VLSI Circuits (VLSI), (Equally-Credited Authors)}, year = {2022}, author = {Daniel S. Truesdell and Xinjian Liu and Jacob Breiholz and Shourya Gupta and Shuo Li and Benton H. Calhoun} } @article {5066, title = {A Sub-nW 93\% Peak Efficiency Buck Converter with Wide Dynamic Range, Fast DVFS, and Asynchronous Load-Transient Control}, journal = {IEEE Journal of Solid-State Circuits, (invited paper)}, year = {2022}, author = {Xinjian Liu and Benton H. Calhoun and Shuo Li} } @article {4956, title = {A 0.5V 560-kHz 18.8-fJ/Cycle On-Chip Oscillator with 96.1-ppm/{\textdegree}C Steady-State Stability Using a Duty-Cycled Digital Frequency-Locked Loop}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Daniel S. Truesdell and Shuo Li and Benton H. Calhoun} } @article {5006, title = {A 0.6V 785-nW Multimodal Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, doi = {10.1109/JSSC.2021.3057229}, author = {Rishika Agarwala and Peng Wang and Henry L Bishop and Anjana Dissanayake and Benton H. Calhoun} } @article {5061, title = {A 2.4 GHz-91.5 dBm Sensitivity Within-Packet Duty-Cycled Wake-Up Receiver}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Anjana Dissanayake and Henry L. Bishop and Steven M. Bowers and Benton H. Calhoun} } @conference {5021, title = {A 366 nW, -74.5 dBm Sensitivity Antenna-Coupled Wakeup Receiver at 4.9 GHz with Integrated Voltage Regulation and References}, booktitle = {IEEE MTT-S International Microwave Symposium (IMS)}, year = {2021}, address = {Atlanta, GA}, author = {Divya Duvvuri and X. Shen and P. Bassirian and Henry L. Bishop and Xinjian Liu and Chien-Hen Chen and Anjana Dissanayake and Yaobin Zhang and T. N. Blalock and Benton H. Calhoun and Steven M. Bowers} } @conference {5026, title = {A 405nW/4.8μW Event-Driven Multi-Modal (V/I/R/C) Sensor Interface for Physiological and Environmental Co-Monitoring}, booktitle = {2021 IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2021}, author = {Rishika Agarwala and Peng Wang and Benton H. Calhoun} } @conference {5011, title = {An 802pW 93\% Peak Efficiency Buck Converter with 5.5{\texttimes}106 Dynamic Range Featuring Fast DVFS and Asynchronous Load-Transient Control}, booktitle = {2021 IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2021}, month = {09/2021}, author = {Xinjian Liu and Shuo Li and Benton H. Calhoun} } @article {4961, title = {A Crystal-Less BLE Transmitter with Clock Recovery from GFSK-Modulated BLE Packets}, journal = {IEEE Journal of Solid-State Circuits}, year = {2021}, author = {Xing Chen and Abdullah Alghaihab and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @article {4946, title = {Dynamic Read VMIN and Yield Estimation for Nanoscale SRAMs}, journal = {IEEE Transactions on Circuits and Systems I: Regular Papers}, year = {2021}, month = {12/2020}, doi = {10.1109/TCSI.2020.3044836}, url = {https://ieeexplore.ieee.org/document/9309185}, author = {Shourya Gupta and Benton H. Calhoun} } @article {5036, title = {Dynamic Write VMIN and Yield Estimation for Nanoscale SRAMs}, journal = {IEEE Transactions on Circuits and Systems I: Regular Papers}, year = {2021}, author = {Shourya Gupta and Benton H. Calhoun} } @conference {4981, title = {Graph Coloring using Coupled Oscillator-based Dynamical Systems}, booktitle = {2021 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2021}, author = {Antik Mallick and Mohammad Khairul Bashar and Daniel S. Truesdell and Benton H. Calhoun and Siddharth Joshi and Nikhil Shukla} } @conference {4931, title = {An Integrated 2.4GHz -91.5dBm Sensitivity Within-Packet Duty-Cycled Wake-Up Receiver Achieving 2μW at 100ms Latency}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2021}, address = {San Francisco, CA (*Equally-Credited Authors)}, author = {Henry L. Bishop* and Anjana Dissanayake* and Steven M. Bowers and Benton H. Calhoun} } @conference {4966, title = {MemGen: An Open-Source Framework for Autonomous Generation of Memory Macros}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2021}, author = {Sumanth Kamineni and Shourya Gupta and Benton H. Calhoun} } @conference {5051, title = {Modeling Energy-Aware Photoplethysmography Hardware for Personalized Health Care Applications Across Skin Phototypes}, booktitle = {IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2021}, author = {Katheryn Flynn and Natalie Ownby and Peng Wang and Benton H. Calhoun} } @conference {5016, title = {Stacked Transconductance Boosting for Ultra-Low Power 2.4GHz RF Front-End Design}, booktitle = {2021 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2021}, month = {05/2021}, author = {Anjana Dissanayake and Steven M. Bowers and Benton H. Calhoun} } @conference {4871, title = {A 0.5V 560kHz 18.8fJ/Cycle Ultra-Low Energy Oscillator in 65nm CMOS with 96.1ppm/{\textdegree}C Stability Using a Duty-Cycled Digital Frequency-Locked Loop}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, month = {2020}, author = {Daniel S. Truesdell and Shuo Li and Benton H. Calhoun} } @conference {4856, title = {A -108dBm Sensitivity, -28dB SIR, 130nW to 41μW, Digitally Reconfigurable Bit-Level Duty-Cycled Wakeup and Data Receiver}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2020}, author = {Anjana Dissanayake and Jesse Moody and Henry L. Bishop and Daniel S. Truesdell and Henry Muhlbauer and Ruochen Lu and Anming Gao and Songbin Gong and Benton H. Calhoun and Steven M. Bowers} } @conference {4876, title = {A 65nm 16kb SRAM with 131.5pW Leakage at 0.9V for Wireless IoT Sensor Nodes}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, author = {Shourya Gupta and Daniel S. Truesdell and Benton H. Calhoun} } @conference {4886, title = {A 785nW Multimodal (V/I/R) Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, author = {Peng Wang and Rishika Agarwala and Henry L. Bishop and Anjana Dissanayake and Benton H. Calhoun} } @conference {4846, title = {An 85 nW IoT Node-Controlling SoC for MELs Power-Mode Management and Phantom Energy Reduction}, booktitle = {2020 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2020}, author = {Shuo Li and Jacob Breiholz and Sumanth Kamineni and Jaeho Im and David D. Wentzloff and Benton H. Calhoun} } @conference {4906, title = {An 88.6nW Ozone Pollutant Sensing Interface IC with a 159 dB Dynamic Range}, booktitle = {ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2020}, author = {Rishika Agarwala and Peng Wang and Akhilesh Tanneeru and Bongmook Lee and Veena Misra and Benton H. Calhoun} } @conference {4851, title = {Application-Driven Model of a PPG Sensing Modality for the Informed Design of Self-Powered, Wearable Healthcare Systems}, booktitle = {2020 IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2020}, author = {Henry L. Bishop and Peng Wang and Benton H. Calhoun} } @article {4866, title = {A comprehensive analysis of Auger generation impacted planar Tunnel FETs}, journal = {Solid-State Electronics}, year = {2020}, month = {02/2020}, author = {Sheikh Z. Ahmed and Daniel S. Truesdell and Yaohua Tan and Benton H. Calhoun and Avik W. Ghosh} } @conference {4826, title = {A Crystal-Less BLE Transmitter with -86dBm Frequency-Hopping Back-Channel WRX and Over-the-Air Clock Recovery from a GFSK-Modulated BLE Packet}, booktitle = {2020 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2020}, month = {02/2020}, author = {Abdullah Alghaihab and Xing Chen and Yao Shi and Daniel S. Truesdell and Benton H. Calhoun and David D. Wentzloff} } @proceedings {4976, title = {Fully Autonomous Mixed Signal SoC Design \& Layout Generation Platform}, journal = {IEEE Hot Chips 32 Symposium (HCS)}, year = {2020}, month = {08/2020}, author = {Tutu Ajayi and Yaswanth K Cherivirala and Kyumin Kwon and Sumanth Kamineni and Mehdi Saligane and Morteza Fayazi and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton H. Calhoun and David D. Wentzloff} } @article {4911, title = {Minimum-Energy Digital Computing with Steep Subthreshold Swing Tunnel FETs}, journal = {IEEE Journal of Exploratory Solid-State Computational Devices and Circuits (JxCDC)}, year = {2020}, author = {Daniel S. Truesdell and Sheikh Z. Ahmed and Avik W. Ghosh and Benton H. Calhoun} } @conference {4881, title = {A Multichannel, MEMS-less -99dBm 260nW Bit-level Duty Cycled Wakeup Receiver}, booktitle = {2020 IEEE Symposium on VLSI Circuits (VLSI)}, year = {2020}, author = {Anjana Dissanayake and Henry L. Bishop and Jesse Moody and Henry Muhlbauer and Benton H. Calhoun and Steven M. Bowers} } @conference {4971, title = {An Open-source Framework for Autonomous SoC Design with Analog Block Generation}, booktitle = {28th IFIP/IEEE International Conference on Very Large Scale Integration}, year = {2020}, month = {10/2020}, address = {Salt Lake City, UT, USA. (Nominated for Best Paper Award)}, keywords = {analog generator, analog synthesis, SoC generator}, author = {Tutu Ajayi and Sumanth Kamineni and Yaswanth K Cherivirala and Morteza Fayazi and Kyumin Kwon and Mehdi Saligane and Shourya Gupta and Chien-Hen Chen and Dennis Sylvester and David Blaauw and Ronald Dreslinski Jr and Benton Calhoun and David D. Wentzloff} } @article {4926, title = {A Single-Supply 6-Transistor Voltage Level Converter Design Reaching 8.18-fJ/Transition at 0.3-1.2-V Range or 44-fW Leakage at 0.8-2.5-V Range}, journal = {IEEE Solid-State Circuits Letters (SSCL)}, year = {2020}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @conference {4861, title = {Sub-microAmp Energy Harvesting and Power Management Units for Self-Powered IoT SoCs: Analog vs. Digital Implementations}, booktitle = {2020 IEEE Custom Integrated Circuits Conference (CICC), (invited paper)}, year = {2020}, month = {03/2020}, author = {Shuo Li and Benton H. Calhoun} } @conference {4791, title = {A Temperature-robust 27.6nW -65dBm Wakeup Receiver at 9.6GHz X Band}, booktitle = {2020 IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2020}, month = {02/2020}, author = {Pouyan Bassirian and Divya Duvvuri and Daniel S. Truesdell and NingXi Liu and Benton H. Calhoun and Steven M. Bowers} } @article {4916, title = {Using synchronized oscillators to compute the maximum independent set}, journal = {Nature Communications}, year = {2020}, author = {Antik Mallick and M. K. Bashar and Daniel S. Truesdell and Benton H. Calhoun and Siddarth Joshi and Nikhil Shukla} } @article {4776, title = {A 0.6-V 44.6-fJ/Cycle Energy-Optimized Frequency-Locked Loop in 65-nm CMOS With 20.3-ppm/{\textdegree}C Stability}, journal = {IEEE Solid-State Circuits Letters (SSCL)}, year = {2019}, month = {10/2019}, author = {Daniel S. Truesdell and Anjana Dissanayake and Benton H. Calhoun} } @article {4711, title = {A 1.02 μW Battery-Less, Continuous Sensing and Post-Processing SiP for Wearable Applications}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, year = {2019}, month = {01/2019}, doi = {10.1109/TBCAS.2019.2894775}, author = {Christopher J Lukas and Farah B Yahya and Jacob Breiholz and Abhishek Roy and Xing Chen and Harsh N Patel and NingXi Liu and Avish Kosari and Shuo Li and Divya Akella Kamakshi and Oluseyi Ayorinde and David D Wentzloff and Benton H Calhoun} } @conference {4746, title = {A -106dBm 33nW Bit-Level Duty-Cycled Tuned RF Wake-up Receiver}, booktitle = {2019 Symposium on VLSI Circuits}, year = {2019}, month = {06/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, author = {Jesse Moody and Anjana Dissanayake and Henry Bishop and Ruochen Lu and NingXi Liu and Divya Duvvuri and Anming Gao and Daniel S. Truesdell and N. Scott Barker and Songbin Gong and Benton H. Calhoun and Steven M. Bowers} } @article {4701, title = {A 2.5 ppm/{\textdegree}C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and Fast Start-Up Time}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, year = {2019}, month = {06/2019}, author = {NingXi Liu and Rishika Agarwala and Anjana Dissanayake and Daniel S. Truesdell and Summanth Kamineni and Benton H. Calhoun} } @conference {4686, title = {A 640 pW 22 pJ/sample Gate Leakage-Based Digital CMOS Temperature Sensor with 0.25{\textdegree}C Resolution}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC) 2019}, year = {2019}, month = {04/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Austin, TX}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @article {4766, title = {A 6{\textendash}140-nW 11 Hz{\textendash}8.2-kHz DVFS RISC-V Microprocessor Using Scalable Dynamic Leakage-Suppression Logic}, journal = {IEEE Solid-State Circuits Letters (SSCL)}, year = {2019}, month = {08/2019}, url = {https://ieeexplore.ieee.org/document/8822384}, author = {Daniel S. Truesdell and Jacob Breiholz and Sumanth Kamineni and NingXi Liu and Albert Magyar and Benton H. Calhoun} } @conference {4691, title = {A 745pA Hybrid Asynchronous Binary-Searching and Synchronous Linear-Searching Digital LDO with 3.8{\texttimes}105 Dynamic Load Range, 99.99\% Current Efficiency, and 2mV Output Voltage Ripple}, booktitle = {IEEE International Solid- State Circuits Conference (ISSCC)}, year = {2019}, month = {02/2019}, address = {San Francisco, CA}, author = {Shuo Li and Benton H. Calhoun} } @article {4721, title = {Analysis and Design of an Ultra-Low-Power Bluetooth Low-Energy Transmitter With Ring Oscillator-Based ADPLL and 4 Frequency Edge Combiner}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {02/2019}, author = {Xing Chen and Jacob Breiholz and Farah B Yahya and Christopher J Lukas and Hun-Seok Kim and Benton H Calhoun and David D Wentzloff} } @conference {4706, title = {A Double Pumped Single-Line-Cache SRAM Architecture for Ultra-low Energy IoT and Machine Learning Applications}, booktitle = {32nd International Conference on VLSI Design}, year = {2019}, month = {01/2019}, author = {Arijit Banerjee}, editor = {Benton H. Calhoun} } @article {4726, title = {Enhanced Interference Rejection Bluetooth Low-Energy Back-Channel Receiver With LO Frequency Hopping}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {04/2019}, author = {Abdullah Alghaihab and Yao Shi and Jacob Breiholz and Hun-Seok Kim and Benton H Calhoun and David D Wentzloff} } @article {4831, title = {A Highly Re-configurable Bit-level Duty Cycled TRF Receiver Achieving -106 dBm Sensitivity and 33 nW Average Power Consumption}, journal = {IEEE Solid-State Circuits Letters (SSCL), Special Issue on VLSI (invited paper)}, year = {2019}, month = {11/2019}, author = {Jesse Moody and Anjana Dissanayake and Henry L Bishop and Ruochen Lu and NingXi Liu and Divya Duvvuri and Anming Gao and Daniel S. Truesdell and N. Scott Barker and Songbin Gong and Benton H. Calhoun and Steven M. Bowers} } @conference {4751, title = {Improving Dynamic Leakage Suppression Logic with Forward Body Bias in 65nm CMOS}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, year = {2019}, month = {10/2019}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @article {4731, title = {Interference Robust Detector-First Near-Zero Power Wake-Up Receiver}, journal = {IEEE Journal of Solid-State Circuits}, year = {2019}, month = {05/2019}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and NingXi Liu and N Scott Barker and Benton H Calhoun and Steven M Bowers} } @conference {4761, title = {A Low Power Bluetooth Low-Energy Transmitter with a 10.5nJ Startup-Energy Crystal Oscillator}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2019}, month = {09/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Cracow, Poland}, author = {Omar Abdelatty and Henry Bishop and Yao Shi and Xing Chen and Abdullah Alghaihab and Benton Calhoun and David Wentzloff} } @article {4716, title = {Nanowatt-Level Wakeup Receiver Front Ends Using MEMS Resonators for Impedance Transformation}, journal = {IEEE Transactions on Microwave Theory and Techniques}, year = {2019}, month = {02/2019}, doi = {10.1109/TMTT.2019.2894645}, author = {Pouyan Bassirian and Jesse Moody and Ruochen Lu and Anming Gao and Tom{\'a}s Manzaneque and Abhishek Roy and N Scott Barker and Benton H Calhoun and Songbin Gong and Steven M Bowers} } @conference {4696, title = {A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated MPPT Achieving 417\% Energy-Extraction Improvement and 97\% Tracking Efficiency}, booktitle = {2019 Symposium on VLSI Circuits}, year = {2019}, month = {06/2019}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, author = {Shuo Li and Abhishek Roy and Benton H. Calhoun} } @article {4821, title = {A Piezoelectric Energy-Harvesting System with Parallel-SSHI Rectifier and Integrated Maximum-Power-Point Tracking}, journal = {IEEE Solid-State Circuits Letters (SSCL), Special Issue on VLSI (invited paper)}, year = {2019}, month = {11/2019}, author = {Shuo Li and Abhishek Roy and Benton H. Calhoun} } @article {4651, title = {A 0.5 V 68 nW ECG Monitoring Analog Front-End for Arrhythmia Diagnosis}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, year = {2018}, month = {08/2018}, author = {Avish Kosari and Jacob Breiholz and NingXi Liu and Benton H. Calhoun and David D. Wentzloff} } @conference {4641, title = {A 2.5 ppm/{\textdegree}C 1.05 MHz Relaxation Oscillator with Dynamic Frequency-Error Compensation and 8 {\textmu}s Start-Up Time}, booktitle = {IEEE European Solid-State Circuits Conference (ESSCIRC)}, year = {2018}, month = {09/2018}, address = {Dresden, Germany}, author = {NingXi Liu and Rishika Agarwala and Anjana Dissanayake and Daniel S. Truesdell and Sumanth Kamineni and Xing Chen and David D. Wentzloff and Benton H. Calhoun} } @conference {4666, title = {A 486 {\textmu}W All-Digital Bluetooth Low Energy Transmitter with Ring Oscillator Based ADPLL for IoT applications}, booktitle = {IEEE Radio Frequency Integrated Circuits Symposium (RFIC)}, year = {2018}, month = {06/2018}, author = {Xing Chen and Jacob Breiholz and Farah B. Yahya and Christopher J Lukas and Hun-Seok Kim and Benton H. Calhoun and David D. Wentzloff} } @conference {4681, title = {A -76dBm 7.4 nW wakeup radio with automatic offset compensation}, booktitle = {International Solid-State Circuits Conference (ISSCC)}, year = {2018}, month = {02-2018}, publisher = {IEEE}, organization = {IEEE}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and NingXi Liu and Stephen Pancrazio and N. Scott Barker and Benton H. Calhoun and Steven M. Bowers} } @conference {4636, title = {Channel Length Sizing for Power Minimization in Leakage-Dominated Digital Circuits}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, year = {2018}, month = {10/2018}, author = {Daniel S. Truesdell and Benton H. Calhoun} } @proceedings {4676, title = {FGC: A Tool-flow for Generating and Configuring Custom FPGAs}, journal = {Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays}, year = {2018}, month = {02/2018}, publisher = {ACM}, address = {Monterey, CA}, author = {Oluseyi Ayorinde and He Qi and Benton H. Calhoun} } @conference {4736, title = {Lighting IoT Test Environment (LITE) Platform: Evaluating Light-Powered, Energy HarvestingEmbedded Systems}, booktitle = {Global Internet of Things Summit (GIoTS)}, year = {2018}, month = {06/2018}, author = {Henry L Bishop and Peng Wang and Dawei Fan and John Lach and Benton H Calhoun} } @article {4646, title = {Modeling tunnel field effect transistors-from interface chemistry to non-idealities to circuit level performance}, journal = {Journal of Applied Physics}, year = {2018}, month = {09/2018}, abstract = {

https://aip.scitation.org/doi/full/10.1063/1.5044434

}, author = {Sheikh Z. Ahmed and Yaohua Tan and Daniel S. Truesdell and Benton H. Calhoun and Avik Ghosh} } @proceedings {4656, title = {Multiple Combined Write-Read Peripheral Assists in 6T FinFET SRAMs for Low-VMIN IoT and Cognitive Applications}, journal = {Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2018}, month = {07/2018}, publisher = {IEEE}, author = {Arijit Banerjee and Summanth Kamineni and Benton H. Calhoun} } @conference {4741, title = {A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs}, booktitle = {19th International Symposium on Quality Electronic Design (ISQED)}, year = {2018}, month = {03/2018}, author = {Divya Akella Kamakshi and Xinfei Guo and Harsh N Patel and Mircea R Stan and Benton H Calhoun} } @article {4661, title = {A Top-Down Approach to Building Battery-Less Self-Powered Systems for the Internet-of-Things}, journal = {Journal of Low Power Electronics \& Applications}, year = {2018}, month = {06/2018}, author = {Farah B. Yahya and Christopher J Lukas and Benton H. Calhoun} } @conference {4671, title = {An Ultra-low Power System On Chip Enabling DVS with SR Level Shifting Latches}, booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2018}, month = {05/2018}, address = {Florence, Italy}, author = {Christopher J Lukas and Farah B. Yahya and Benton H. Calhoun} } @conference {434, title = {A 256kb 6T self-tuning SRAM with extended 0.38V{\textendash}1.2V operating range using multiple read/write assists and VMIN tracking canary sensors}, booktitle = {2017 IEEE Custom Integrated Circuits Conference (CICC)}, year = {2017}, address = {Austin, TX, 2017}, author = {A. Banerjee and N. Liu and H. N. Patel and B. H. Calhoun}, editor = {J. Poulton and C. T. Gray} } @conference {427, title = {A 4.4 nW Lossless Sensor Data Compression Accelerator for 2.9x System Power Reduction in Wireless Body Sensors}, booktitle = {2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS)}, year = {2017}, month = {08/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Boston, MA, USA}, author = {Jacob Breiholz and Farah Yahya and Christopher J Lukas and Xing Chen and Kevin Leach and David Wentzloff and Benton H. Calhoun} } @conference {424, title = {A 71\% Efficient Energy Harvesting and Power Management Unit for Sub-{\textmu}W Power Biomedical Applications}, booktitle = {2017 IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2017}, publisher = {IEEE}, organization = {IEEE}, address = {Turin, Italy}, author = {Abhishek Roy and Benton H. Calhoun} } @conference {425, title = {An 8.3 nW -72 dBm Event Driven IoE Wake Up Receiver RF Front End}, booktitle = {2017 European Microwave Integrated Circuit Conference (EuMIC)}, year = {2017}, address = {Nuremberg, Germany}, author = {Jesse Moody and Pouyan Bassirian and Abhishek Roy and Yukang Feng and Shuo Li and Robert Costanzo and N. Scott Barker and Benton H. Calhoun and Steven M. Bowers} } @conference {426, title = {A battery-less 507nW SoC with integrated platform power manager and SiP interfaces}, booktitle = {2017 Symposium on VLSI Circuits}, year = {2017}, month = {06/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Kyoto, Japan}, isbn = {978-4-86348-614-0}, doi = {https://doi.org/10.23919/VLSIC.2017.8008532}, author = {Farah Yahya and Christopher J Lukas and Jacob Breiholz and Abhishek Roy and Harsh N. Patel and NingXi Liu and Xing Chen and Avish Kosari and Shuo Li and Divya Akella and Oluseyi Ayorinde and David D. Wentzloff and Benton H. Calhoun} } @conference {431, title = {FAR: A 4.12uW Ferro-electric Auto-Recovery for Battery-less BSN SoCs}, booktitle = {2017 IEEE Biomedical Circuits and Systems Conference (BioCAS)}, year = {2017}, month = {2017}, publisher = {IEEE}, organization = {IEEE}, address = {Turin, Italy}, author = {Farah B. Yahya and Christopher J Lukas and Benton H. Calhoun} } @conference {432, title = {Modeling Trans-threshold Correlations for Reducing Functional Test Time in Ultra-Low Power Systems}, booktitle = {2017 IEEE International Test Conference (ITC)}, year = {2017}, month = {2017}, publisher = {IEEE}, organization = {IEEE}, address = {Fort Worth, TX, USA}, author = {Christopher J Lukas and Farah B. Yahya and Benton H. Calhoun} } @conference {429, title = {Soft Errors: Reliability Challenges in Energy-Constrained ULP Body Sensor Networks Applications}, booktitle = {23rd IEEE International Symposium on On-Line Testing and Robust System Design}, year = {2017}, month = {06/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Thessaloniki, Greece}, author = {Harsh N. Patel and Randy W. Mann and Benton H. Calhoun} } @conference {430, title = {Subthreshold SRAM: Challenges, Design Decisions, and Solutions}, booktitle = {60th IEEE International Midwest Symposium on Circuits and Systems}, year = {2017}, month = {08/2017}, publisher = {IEEE}, organization = {IEEE}, address = {Boston, MA, USA}, author = {Harsh N. Patel and Farah B. Yahya and Benton H. Calhoun} } @conference {428, title = {An Ultra-Low-Power FPGA for IoT Applications}, booktitle = {S3S 2017}, year = {2017}, author = {He Qi and Oluseyi Ayorinde and Benton H. Calhoun} } @article {382, title = {A 0.2 V, 23 nW CMOS Temperature Sensor for Ultra-Low-Power IoT Applications}, journal = {J. Low Power Electron. Appl. (JLPEA)}, volume = {6}, year = {2016}, author = {D. Akella Kamakshi and A. Shrivastava and B. H. Calhoun} } @conference {371, title = {A 1.3μW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Santa Clara, CA}, author = {Abhishek Roy and Peter Grossmann and Steven Vitale and Benton Calhoun} } @article {387, title = {A 1.5nW, 32.768kHz XTAL Oscillator Operational from 0.3V Supply}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {51}, year = {2016}, author = {A. Shrivastava and Akella, D. and B. H. Calhoun} } @conference {393, title = {A 236nW -56.5dBm Sensitivity Self-Powered Bluetooth Low-Energy Wakeup Receiver in 65nm CMOS}, booktitle = {IEEE International Solid-State Circuits Conference (ISSCC)}, year = {2016}, author = {Roberts, N. E. and K. Craig and A. Shrivastava and S. N. Wooters and Y. Shakhsheer and B. H. Calhoun and Wentzloff, D. D.} } @article {384, title = {A 36nW, 7 ppm/oC Fully On-Chip Clock Source System for Ultra-Low Power Applications}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, volume = {6}, year = {2016}, author = {Akella, D. and A. Shrivastava and C. Duan and B. H. Calhoun} } @conference {390, title = {A 55nm Ultra Low Leakage Deeply Depleted Channel Technology Optimized for Energy Minimization in Subthreshold SRAM and Logic}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2016}, author = {H. N. Patel and Roy, A. and F. B. Yahya and N. Liu and K. Kumeno and M. Yasuda and A. Harada and T. Ema and B. H. Calhoun} } @article {381, title = {A Design and Theoretical Analysis of a 145 mV to 1.2 V Single-Ended Level Converter Circuit for Ultra-Low Power Low Voltage ICs}, journal = {J. Low Power Electron. Appl. (JLPEA)}, volume = {6}, year = {2016}, author = {Y. Huang and A. Shrivastava and L. Barnes and B. H. Calhoun} } @conference {391, title = {Design Optimization of Register File Throughput and Energy using a Virtual Prototyping (ViPro) Tool}, booktitle = {IEEE Computer Society Annual Symposium on VLSI (ISVLSI)}, year = {2016}, author = {N. Liu and B. H. Calhoun} } @article {385, title = {Development of an artificial sensor for hydrodynamic detection inspired by a seal{\textquoteright}s whisker array}, journal = {Bioinspiration and Biomimetics}, year = {2016}, author = {W. Eberhardt and B. Wakefield and C. Casey and C. Murphy and B. H. Calhoun and C. Reichmuth} } @conference {405, title = {An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating}, booktitle = {International Conference on Field-Programmable Technology (ICFPT 2016)}, year = {2016}, month = {12/2016}, publisher = {IEEE}, organization = {IEEE}, address = {Xi{\textquoteright}an, China}, author = {He Qi and Oluseyi Ayorinde and Benton H. Calhoun} } @conference {373, title = {Exploring Circuit Robustness to Power Supply Variation in Low-Voltage Latch and Register-Based Digital Systems}, booktitle = {IEEE International Symposium on Circuits and Systems (ISCAS)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Montreal, Canada}, author = {Abhishek Roy and Benton Calhoun} } @conference {369, title = {Improving Reliability and Energy Requirements of Memory in Body Sensor Networks.}, booktitle = {International Conference on VLSI Design}, year = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Kolkata, India}, author = {Harsh Patel and Farah Yahya and Benton H. Calhoun} } @conference {392, title = {Modeling and Analysis of Power Supply Noise Tolerance with Fine-grained GALS Adaptive Clocks}, booktitle = {ASYNC}, year = {2016}, author = {D. Kamakshi and M. Fojtik and B. Khailany and S. Kudva and Y. Zhou and B. H. Calhoun} } @conference {372, title = {Optimizing SRAM Bitcell Reliability and Energy for IoT Applications}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2016}, month = {2016}, publisher = {IEEE}, organization = {IEEE}, address = {Santa Clara, CA}, author = {Harsh Patel and Farah Yahya and Benton Calhoun} } @article {383, title = {A Sub-threshold 8T SRAM Macro with 12.29nW/KB Standby Power and 6.24 pJ/access for Battery-Less IoT SoCs}, journal = {J. Low Power Electron. Appl. (JLPEA)}, volume = {6}, year = {2016}, author = {F. Yahya and H. Patel and Boley, J. and A. Banerjee and B. H. Calhoun} } @conference {389, title = {A Tunnel FET Design for High-Current, 120 mV Operation}, booktitle = {IEDM}, year = {2016}, author = {P. Long and J. Z. Huang and M. Povolotskyi and D. Verreck and J. Charles and T. Kubis and G. Klimeck and M. J.W. Rodwell and B. H. Calhoun} } @conference {354, title = {A 0.38 pJ/bit 1.24 nW Chip-to-Chip Serial Link for Ultra-Low Power Systems}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2015}, month = {05/2015}, address = {Lisbon}, url = {http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7169283}, author = {Christopher J Lukas and Benton H. Calhoun} } @article {388, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric and Solar Energy Harvesting with 220mV Cold-Start and -14.5dBm, 915MHz RF Kick-Start}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {50}, year = {2015}, month = {08/2015}, pages = {1820-1832}, author = {A. Shrivastava and Roberts, N. E. and O. U. Khan and Wentzloff, D. D. and B. H. Calhoun} } @conference {364, title = {A 130nm Canary SRAM for SRAM Dynamic Write VMIN Tracking across Voltage, Frequency, and Temperature Variations}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2015}, month = {09/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Jose, CA}, author = {Arijit Banerjee and Jacob Breiholz and Benton H. Calhoun} } @conference {394, title = {A 145mV to 1.2V Single Ended Level Converter Circuit for Ultra-Low Power Low Voltage ICs}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, year = {2015}, author = {Y. Huang and A. Shrivastava and B. H. Calhoun} } @conference {365, title = {A 23 nW CMOS ultra-Low Power Temperature Sensor Operational from 0.2 V}, booktitle = {IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference}, year = {2015}, month = {10/2015}, publisher = {IEEE}, organization = {IEEE}, address = {Rohnert Park, CA}, author = {Divya Akella and Aatmesh Shrivastava and Benton H. Calhoun} } @conference {397, title = {A 32nW Bandgap Reference Voltage Operational from 0.5V Supply for Ultra-low Power Systems}, booktitle = {EEE International Solid-State Circuits Conference (ISSCC)}, year = {2015}, author = {A. Shrivastava and K. Craig and N. Roberts and Wentzloff, D. D. and B. H. Calhoun} } @conference {349, title = {A 6.45 μW Self-Powered IoT SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios}, booktitle = {ISSCC}, year = {2015}, month = {02/2015}, publisher = {IEEE}, organization = {IEEE}, address = {San Francisco, CA}, author = {Alicia Klinefelter and Nathan Roberts and Yousef Shakhsheer and Patricia Gonzalez and Aatmesh Shrivastava and Abhishek Roy and Kyle Craig and Muhammad Faisal and James Boley and Seunghyun Oh and Yanqing Zhang and Divya Akella and David D. Wentzloff and Benton Calhoun} } @article {370, title = {A 6.45μW Self-Powered SoC with Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems}, journal = {IEEE Transactions on Biomedical Circuits and Systems}, volume = {9}, year = {2015}, month = {12/2015}, pages = {862-874}, author = {Abhishek Roy and Alicia Klinefelter and Farah Yahya and Xing Chen and Patricia Gonzalez and Christopher J Lukas and Divya Akella and James Boley and Kyle Craig and Muhammad Faisal and Seunghyun Oh and Nathan Roberts and Yousef Shakhsheer and Aatmesh Shrivastava and Dilip Vasudevan and David D. Wentzloff and Benton Calhoun} } @conference {361, title = {Combining SRAM Read/Write Assist Techniques for Near/Sub-Threshold Voltage Operation}, booktitle = {6th Asia Symposium on Quality Electronic Design (ASQED 2015)}, year = {2015}, month = {08/2015}, address = {Kuala Lumpur, Malaysia}, author = {Farah B. Yahya and Harsh N. Patel and Vikas Chandra and Benton H. Calhoun} } @conference {353, title = {Error-Energy Analysis of Hardware Logarithmic Approximation Methods for Low Power Applications}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2015}, month = {05/2015}, author = {Klinefelter, A. and J. Ryan and Tschanz, J. and B. H. Calhoun} } @article {386, title = {Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing}, journal = {Proceedings of the IEEE}, volume = {103}, year = {2015}, month = {04/2015}, pages = {665-681}, chapter = {665}, author = {V. Misra and A. Bozkurt and B. Calhoun and T. Jackson and J. Jur and J. Lach and B. Lee and J. Muth and O. Oralkan and M. Ozturk and S. Trolier-McKinstry and D. Vashaee and D. Wentzloff and Y. Zhu} } @conference {366, title = {Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs}, booktitle = {2015 25th International Conference on Field Programmable Logic and Applications (FPL)}, year = {2015}, month = {09/2015}, address = {London, UK}, author = {He Qi and Oluseyi Ayorinde and Yu Huang and Benton Calhoun} } @conference {350, title = {Stack Based Sense Amplifier Designs for Reducing Input-Referred Offset}, booktitle = {International Symposium on Quality Electronic Design}, year = {2015}, month = {03/2015}, author = {Boley, J. and B. H. Calhoun} } @conference {396, title = {Ultra Low Power Circuits and Systems for Self Powered Wireless Sensors}, booktitle = {GOMACTech}, year = {2015}, author = {Y. Shakhsheer and A. Shrivastava and N. Roberts and K. Craig and S. Wooters and Wentzloff, D. D. and B. H. Calhoun} } @conference {395, title = {Ultra-Low Power Wireless SoCs Enabling a Batteryless IoT}, booktitle = {HOT Chips}, year = {2015}, author = {B. H. Calhoun and Wentzloff, D. D.} } @conference {367, title = {Using island-style bi-directional intra-CLB routing in low-power FPGAs}, booktitle = {25th International Conference on Field Programmable Logic and Applications (FPL)}, year = {2015}, month = {09/2015}, author = {Oluseyi Ayorinde and He Qi and Yu Huang and Benton Calhoun} } @article {351, title = {Virtual Prototyper (ViPro): An SRAM Design Tool for Yield Constrained Optimization}, journal = {Transactions of Very Large Scale Integration Systems}, year = {2015}, author = {James Boley and Peter Beshay and Benton H. Calhoun} } @conference {341, title = {A 10mV-Input Boost Converter with Inductor Peak Current Control and Zero Detection for Thermoelectric Energy Harvesting}, booktitle = {IEEE Custom Integrated Circuits Conference (CICC)}, year = {2014}, month = {2014}, author = {A. Shrivastava and D. Wentzloff and B. H. Calhoun} } @conference {343, title = {A 1.2μW SIMO Energy Harvesting and Power Management Unit with Constant Peak Inductor Current Control Achieving 83-92\% Efficiency Across Wide Input and Output Voltages}, booktitle = {Symposium on VLSI Circuits}, year = {2014}, month = {2014}, author = {A. Shrivastava and Y. K. Ramadass and S. Khanna and S. Bartling and B. H. Calhoun} } @article {331, title = {A 32b 90nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation from Sub-threshold to High Performance}, journal = {Journal of Solid State Circuits}, year = {2014}, author = {Kyle Craig and Yousef Shakhsheer and Saad Arrabi and Sudhanshu Khanna and John Lach and Benton H. Calhoun} } @article {337, title = {39 fJ/bit On-Chip Identification of Wireless Sensors Based on Manufacturing Variation}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, volume = {4}, year = {2014}, month = {09/2014}, pages = {16}, chapter = {252}, author = {J.Bolus and B. H. Calhoun and T.Blalock} } @conference {335, title = {A Digital Dynamic Write Margin Sensor for Low Power Read/Write Operations in 28nm SRAM}, booktitle = {ISLPED}, year = {2014}, month = {08/2014}, author = {Peter Beshay and Vikas Chandra and Robert Aitken and Benton H. Calhoun} } @conference {346, title = {Fast, Accurate Variation-Aware Path Timing Computation for Sub-threshold Circuits}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2014}, month = {02,2014}, author = {Y. Zhang and B. H. Calhoun} } @conference {344, title = {Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems}, booktitle = {International Symposium on Field-Programmable Custom Computing Machines (FCCM)}, year = {2014}, month = {2014}, author = {S. Arrabi and D. Moore and L. Wang and K. Skadron and B. H. Calhoun} } @conference {345, title = {LEDRA: A 3DIC Ultra-Low Power FPGA Architecture for DoD Applications}, booktitle = {GOMAC Tech}, year = {2014}, month = {2014}, author = {J. J. Granacki and B. H. Calhoun and A. R. Dasu and M. Jagasivamani and L. McIlrath and M. Fritze} } @conference {342, title = {Modeling SRAM Dynamic VMIN}, booktitle = {International Conference on IC Design and Technology (ICICDT)}, year = {2014}, month = {06/2014}, author = {Boley, J. and V. Chandra and R. Aitken and B. H. Calhoun} } @conference {348, title = {Pipelined Non-Strobed Sensing Scheme for Lowering BL Swing in Nano-scale Memories}, booktitle = {VLSI Design Conference}, year = {2014}, month = {2014}, author = {S. Khanna and S. V. Nalam and B. H. Calhoun} } @conference {336, title = {A Reduced-Memory FIR Filter Using Approximate Coefficients for Ultra-Low Power SoCs}, booktitle = {S3S Conference}, year = {2014}, month = {10/2014}, address = {Monterey, CA}, author = {Klinefelter, A. and B. H. Calhoun} } @conference {347, title = {A Reverse Write Assist Circuit for SRAM Dynamic Write VMIN Tracking using Canary SRAMs}, booktitle = {International Symposium on Quality Electronic Design (ISQED)}, year = {2014}, month = {02,2014}, author = {A. Banerjee and M. Sinangil and J. Poulton and C. T. Gray and B. H. Calhoun} } @conference {356, title = {Self Calibrated Dynamic Write Margin Sensor for Low Power Read/Write Operations in Sub-32nm SRAM}, booktitle = {Design Automation Conference (DAC)}, year = {2014}, author = {P. Beshay and V. Chandra and R. Aitken and B. H. Calhoun} } @article {338, title = {An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, volume = {4}, year = {2014}, month = {05,2014}, pages = {19}, chapter = {119}, author = {A. Banerjee and B. H. Calhoun} } @conference {325, title = {A 0.6V 8 pJ/write Non-Volatile CBRAM Macro Embedded in a Body Sensor Node for Ultra Low Energy Applications}, booktitle = {Symposium on VLSI Circuits}, year = {2013}, month = {2013}, author = {Nad Gilbert and Yanqing Zhang and John Dinh and Benton Calhoun and Shane Hollmer} } @conference {298, title = {A 50nW, 100kbps Clock/Data Recovery Circuit in an FSK RF Receiver on a Body Sensor Node}, booktitle = {VLSI Design Conference}, year = {2013}, month = {01/2013}, author = {Aatmesh Shrivastava and Jagdish Pandey and Brian Otis and Benton H. Calhoun} } @article {318, title = {A Batteryless 19 uW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications}, journal = {Journal of Solid State Circuits}, volume = {48}, year = {2013}, month = {01/2013}, pages = {199-213}, chapter = {199}, author = {Yanqing Zhang and Fan Zhang and Yousef Shakhsheer and Jason D. Silver and Alicia Klinefelter and Manohar Nagaraju and James Boley and Jagdish Pandey and Aatmesh Shrivastava and Eric J. Carlson and Austin Wood and Benton H. Calhoun and Brian P. Otis} } @article {327, title = {A DC-DC Converter Efficiency Model for System Level Analysis in Ultra Low Power Applications}, year = {2013}, month = {06/2013}, abstract = {This paper presents a model of inductor based DC-DC converters that can be used to study the impact of power management techniques such as dynamic voltage and frequency scaling (DVFS). System level power models of low power systems on chip (SoCs) and power management strategies cannot be correctly established without accounting for the associated overhead related to the DC-DC converters that provide regulated power to the system. The proposed model accurately predicts the efficiency of inductor based DC-DC converters with varying topologies and control schemes across a range of output voltage and current loads. It also accounts for the energy and timing overhead associated with the change in the operating condition of the regulator. Since modern SoCs employ power management techniques that vary the voltage and current loads seen by the converter, accurate modeling of the impact on the converter efficiency becomes critical. We use this model to compute the overall cost of two power distribution strategies for a SoC with multiple voltage islands. The proposed model helps us to obtain the energy benefits of a power management technique and can also be used as a basis for comparison between power management techniques or as a tool for design space exploration early in a SoC design cycle.}, url = {http://www.mdpi.com/2079-9268/3/3/215}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @article {326, title = {A Digital Auto-Zeroing Circuit to Reduce Offset in Sub-threshold Sense Amplifiers}, journal = {Journal of Low Power Electronics and Applications}, year = {2013}, month = {05/2013}, type = {Journal Article}, url = {http://www.mdpi.com/2079-9268/3/2/159}, author = {Peter Beshay and Joseph F. Ryan and Benton H. Calhoun} } @article {328, title = {Effect of Angle on Flow-Induced Vibrations of Pinniped Vibrissae}, journal = {PLOS One}, volume = {Vol. 8, No. 7}, year = {2013}, url = {http://dx.plos.org/10.1371/journal.pone.0069872}, author = {Christin T. Murphy and William C. Eberhardt and Benton H. Calhoun and Kenneth A. Mann and David A. Mann} } @conference {332, title = {Hold Time Closure for Subthreshold Circuits Using a Two-Phase, Latch Based Timing Method}, booktitle = {S3S Conference}, year = {2013}, month = {10/2013}, address = {Monterey, California}, author = {Yanqing Zhang and Benton H. Calhoun} } @conference {321, title = {Leveraging Sensitivity Analysis for Fast, Accurate Estimation of SRAM Dynamic VMIN}, booktitle = {Design Automation and Test Europe}, year = {2013}, month = {03/2013}, author = {James Boley and Vikas Chandra and Robert Aitken and Benton Calhoun} } @conference {330, title = {An Ultra Low Energy 9T Half-select-free Subthreshold SRAM bitcell}, booktitle = {S3S}, year = {2013}, address = {Monterey, CA}, keywords = {9T, bitcell, half-select-free, SRAM, Sub-VT, subthrehold}, author = {Arijit Banerjee and Benton H. Calhoun} } @conference {322, title = {Virtual Prototyping (ViPro) Tool for Memory Subsystem Design Exploration and Optimization}, booktitle = {SRC TECHCON}, year = {2013}, month = {09/2013}, author = {James Boley and Peter Beshay and Benton Calhoun} } @conference {296, title = {A 150nW, 5ppm/oC, 100kHz On-Chip Clock Source for Ultra Low Power SoCs}, booktitle = {Custom Integrated Circuits Conference}, year = {2012}, month = {09/2012}, publisher = {IEEE}, organization = {IEEE}, address = {San Jose}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @article {288, title = {Analyzing Sub-Threshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin}, journal = {Journal of Low Power Electronics and Applications}, year = {2012}, month = {04/2012}, author = {James Boley and Jiajing Wang and B. H. Calhoun} } @article {339, title = {Analyzing Sub-Threshold Bitcell Topologies and the Effects of Assist Methods on SRAM VMIN}, journal = {Journal of Low Power Electronics and Applications (JLPEA)}, volume = {2}, year = {2012}, month = {04/2012}, pages = {12}, chapter = {143}, author = {Boley, J. and J. Wang and B. H. Calhoun} } @conference {278, title = {A Batteryless 19uW MICS/ISM-Band Energy Harvesting Body Area Sensor Node SoC}, booktitle = {ISSCC}, year = {2012}, month = {02/2012}, address = {San Francisco}, author = {Fan Zhang and Yanqing Zhang and Jason Silver and Yousef Shakhsheer and Manohar Nagaraju and Alicia Klinefelter and Jagdish Pandey and James Boley and Eric Carlson and Aatmesh Shrivastava and Brian Otis and Benton Calhoun} } @conference {287, title = {A Charge Pump Based Receiver Circuit for a Voltage Scaled Interconnect}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Aatmesh Shrivastava and John Lach and Benton Calhoun} } @proceedings {299, title = {A Custom Processor for Node and Power Management of a Battery-less Body Sensor Node in 130nm CMOS}, journal = {Custom Integrated Circuits Conference}, year = {2012}, month = {09/2012}, address = {San Jose}, author = {Y. Shakhsheer and Y. Zhang and B. Otis and B. H. Calhoun} } @conference {311, title = {Dark vs. Dim Silicon and Near-Threshold Computing}, booktitle = {Dark Silicon Workshop (DaSi)}, year = {2012}, month = {06/2012}, author = {Liang Wang and Kevin Skadron and Benton H. Calhoun} } @book {310, title = {Design Principles for Digital CMOS Integrated Circuit Design}, series = {The Modular Series of Microelectronic Device \& Circuit Design, eds. C. Sodini and R. Howe}, year = {2012}, month = {03/2012}, publisher = {NTS Press}, organization = {NTS Press}, isbn = {978-1-934891-14-8}, author = {Benton H. Calhoun} } @conference {297, title = {Modeling DC-DC Converter Efficiency and Power Management in Ultra Low Power Systems}, booktitle = {Subthreshold Microelectronics Conference}, year = {2012}, month = {10/2012}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @conference {290, title = {Optimal Power Switch Design for Dynamic Voltage Scaling from High Performance to Subthreshold Operation}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Kyle Craig and Yousef Shakhsheer and Benton H. Calhoun} } @article {319, title = {A Programmable 34 nW/Channel Sub-Threshold Signal Band Power Extractor on a Body Sensor Node SoC}, journal = {Circuits and Systems II: Express Briefs, IEEE Transactions on}, volume = {59}, year = {2012}, month = {12/2012}, pages = {941}, chapter = {937}, keywords = {Computer architecture, Electroencephalography, Energy harvesting, Finite impulse response filter, Low power electronics, Low voltage, System-on-a-chip}, issn = {1549-7747}, author = {Alicia Klinefelter and Yanqing Zhang and Brian Otis and Benton H. Calhoun} } @conference {289, title = {A Programmable Resistive Power Grid for Post-Fabrication Flexibility and Energy Tradeoffs}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2012}, author = {Kyle Craig and Yousef Shakhsheer and Sudhanshu Khanna and Saad Arrabi and John Lach and Benton H. Calhoun and Stephen Kosonocky} } @conference {312, title = {Reducing the Cost of Safety-Critical Systems with On-Demand Redundancy}, booktitle = {SRC Techcon}, year = {2012}, month = {09/2012}, author = {L. Szafaryn and J. Chen and Benton H. Calhoun and John Lach and Kevin Skadron and Brett H. Meyer} } @conference {304, title = {SRAM Sense Amplifier Offset Cancellation Using BTI Stress}, booktitle = {Subthreshold Microelectronics Conference}, year = {2012}, month = {10/2012}, author = {Peter Beshay and Jonathan Bolus and Travis Blalock and Vikas Chandra and Benton H. Calhoun} } @conference {305, title = {Sub-threshold Sense Amplifier Compensation Using Auto-zeroing Circuitry}, booktitle = {Subthreshold Microelectronics Conference}, year = {2012}, month = {10/2012}, author = {Peter Beshay and Joseph F. Ryan and Benton H. Calhoun} } @article {340, title = {Tracking On-Chip Age Using Distributed, Embedded Sensors}, journal = {Transactions on VLSI Systems (TVLSI)}, volume = {20}, year = {2012}, month = {11/2012}, pages = {12}, chapter = {1974}, author = {S. N. Wooters and A. C. Cabe and Z. Qi and J. Wang and R. W. Mann and B. H. Calhoun and M. R. Stan and T. N. Blalock} } @article {257, title = {5T SRAM with Asymmetric Sizing for Improved Read Stability}, journal = {JSSC}, year = {2011}, author = {Nalam, Satyanand and Benton H. Calhoun} } @conference {258, title = {A 90nm Data Flow Processor Demonstrating Fine Grained DVS for Energy Efficient Operation from 0.25V to 1.2V}, booktitle = {Custom Integrated Circuits Conference}, year = {2011}, month = {09/2011}, address = {San Jose}, author = {Y. Shakhsheer and S. Khanna and K. Craig and S. Arrabi and J. Lach and B. H. Calhoun} } @conference {285, title = {An Analytical Model for Performance Yield of Nanoscale SRAM Accounting for the Sense Amplifier Strobe Signal}, booktitle = {Internation Symposium on Lower Power Electronics and Design (ISLPED)}, year = {2011}, author = {J. F. Ryan and S. Khanna and B. H. Calhoun} } @booklet {272, title = {Analyzing Subthreshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin}, year = {2011}, author = {Boley, J. and B. H. Calhoun and J. Wang} } @conference {259, title = {A Bio-Inspired Artificial Whisker for Fluid Motion Sensing with Increased Sensitivity and Reliability}, booktitle = {IEEE Sensors}, year = {2011}, month = {10/2011}, address = {Limrick, Ireland}, author = {W.C. Eberhardt and Y.A. Shakhsheer and B. H. Calhoun} } @article {265, title = {Body Sensor Networks: A Holistic Approach From Silicon to Users}, journal = {IEEE Proceedings}, year = {2011}, type = {Proceedings Journal}, author = {Benton H. Calhoun and John Lach and John Stankovic and David D. Wentzloff and Kamin Whitehouse and Adam Barth and Jonathan K Brown and Qiang Li and Seunghyun Oh and Nathan Roberts and Yanqing Zhang} } @booklet {266, title = {The Cost of Fixing Hold Time Violations in Sub-threshold Circuits}, year = {2011}, author = {Yanqing Zhang and Benton H. Calhoun} } @proceedings {284, title = {Cost-effective Safety and Fault Localization using Distributed Temporal Redundancy}, journal = {CASES}, year = {2011}, author = {B. H. Meyer and B. H. Calhoun and J. C. Lach and K. Skadron} } @conference {239, title = {Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAM}, booktitle = {Design Automation and Test Europe (DATE)}, year = {2011}, month = {03/2011}, author = {S. Nalam and V. Chandra and R. C. Aitken and B. H. Calhoun} } @article {256, title = {Energy Efficient Design for Body Sensor Nodes}, journal = {Journal of Low Power Electronics and Applications}, year = {2011}, month = {04/2011}, type = {Review}, keywords = {body sensor networks, energy efficient design, sub-threshold circuits, wearable computing}, issn = {2079-9268}, url = {http://www.mdpi.com/2079-9268/1/1/109/}, author = {Yanqing Zhang and Yousef Shakhsheer and Adam T. Barth and Harry C. Powell Jr. and Samuel A. Ridenour and Mark A. Hanson and John Lach and Benton H. Calhoun} } @article {251, title = {An Enhanced Canary-based System with BIST for SRAM Standby Power Reduction}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {Jiajing Wang and Alexander Hoefler and Benton H. Calhoun} } @conference {313, title = {Flow-induced Vibrations of Pinniped Vibrissae: Effects of Angular Orientation and Implications for Hydrodynamic Reception}, booktitle = {Conference on the Biology of Marine Mammals}, year = {2011}, month = {11/2011}, author = {Christin T. Murphy and W. Craig Eberhardt and Benton H. Calhoun and David A. Mann} } @article {275, title = {Minimum Supply Voltage and Yield Estimation for Large SRAMs Under Parametric Variations}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {J. Wang and B. H. Calhoun} } @conference {247, title = {New category of ultra-thin notchless 6T SRAM cell layout topologies for sub-22nm}, booktitle = {ISQED}, year = {2011}, author = {Randy Mann and Benton Calhoun} } @article {283, title = {Non-Random Device Mismatch Considerations in Nanoscale SRAM}, journal = {IEEE Transactions of VLSI Systems (TVLSI)}, year = {2011}, author = {R. W. Mann and T. B. Hook and P. Nguyen and B. H. Calhoun} } @conference {270, title = {Optimal Power Switch Design for Panoptic Dynamic Voltage Scaling Enabling Subthreshold Operation}, booktitle = {Subthreshold Microelectronics Conference}, year = {2011}, author = {Kyle Craig and Yousef Shakhsheer and Sudhanshu Khanna and Benton H. Calhoun} } @booklet {273, title = {A Programmable Multi-channel Sub-threshold FIR Filter for a Body Area Sensor Node}, year = {2011}, author = {A.M. Klinefelter and B. H. Calhoun} } @conference {240, title = {Reducing the Cost of Redundant Execution in Safety-Critical Systems using Relaxed Dedication}, booktitle = {Design Automation and Test in Europe (DATE)}, year = {2011}, month = {03/2011}, author = {B. H. Meyer and K. Skadron and N. George and B. H. Calhoun and J. Lach} } @conference {246, title = {Stepped Supply Voltage Switching for Energy Constrained Systems}, booktitle = {ISQED}, year = {2011}, author = {Sudhanshu Khanna and Kyle Craig and Yousef Shakhsheer and Saad Arrabi and John Lach and Benton Calhoun} } @booklet {286, title = {A Sub-0.5V Lattice-Based Public-Key Encryption Scheme for RFID Platforms in 130nm}, year = {2011}, address = {2011 Workshop on RFID Security}, author = {Y. Yu and J. Huang and S. Khanna and B. H. Calhoun and J. Lach and A. Shelat and D. Evans} } @booklet {271, title = {A sub-threshold clock and data recovery circuit for a wireless sensor node}, year = {2011}, author = {Aatmesh Shrivastava and Benton H. Calhoun} } @conference {276, title = {A Sub-Threshold FPGA: Energy-Efficient Reconfigurable Logic}, booktitle = {GOMAC Tech}, year = {2011}, month = {03/2011}, author = {Benton H. Calhoun and Yanqing Zhang and Sudhanshu Khanna and Kyle Craig and Yousef Shakhsheer and John Lach} } @article {282, title = {Tracking On-Chip Age Using Distributed, Embedded Sensors}, journal = {Transactions on VLSI Systems (TVLSI)}, year = {2011}, author = {Stuart N. Wooters and A. C. Cabe and Z. Qi and J. Wang and R. W. Mann and B. H. Calhoun and M. R. Stan and Travis N. Blalock} } @article {274, title = {What is a Body Sensor Network?}, journal = {ACM / SIGDA Newsletter}, volume = {41}, year = {2011}, month = {10/2011}, author = {B. H. Calhoun and J. Lach} } @conference {37, title = {Asymmetric 6T SRAM with Two-phase Write and Split Bitline Differential Sensing for Low Voltage Operation}, booktitle = {ISQED}, year = {2010}, pages = {139-146}, author = {S. Nalam and V. Chandra and C. Pietrzyk and R. C. Aitken and B. H. Calhoun} } @article {89, title = {Can Subthreshold and Near-Threshold Circuits Go Mainstream?}, journal = {IEEE Micro}, volume = {30}, year = {2010}, month = {06/2010}, pages = {80-85}, author = {Benton H. Calhoun and David Brooks} } @conference {84, title = {A Capacitance-Based Whisker-like Artificial Sensor for Fluid Motion Sensing}, booktitle = {IEEE Sensors}, year = {2010}, author = {J.B. Stocking and W.C. Eberhardt and Y.A. Shakhsheer and J.R. Paulus and M. Appleby and B. H. Calhoun} } @article {68, title = {An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS}, journal = {IEEE Transactions on Circuits and Systems II}, volume = {57}, year = {2010}, month = {04/2010}, pages = {290-294}, author = {Stuart N. Wooters and Benton H. Calhoun and Travis N. Blalock} } @conference {81, title = {Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores}, booktitle = {TECHCON}, year = {2010}, month = {13/09/2010}, author = {Marisabel Guevara and M. D. Marino and J. Meng and P. Satyamoorthy and L. G. Szafaryn and Puqing Wu and Brett Meyer and Kevin Skadron and John Lach and Benton H. Calhoun} } @article {62, title = {Flexible Circuits and Architectures for Ultra Low Power}, journal = {Proceedings of the IEEE}, volume = {98}, year = {2010}, month = {02/2010}, pages = {267-282}, author = {Benton H. Calhoun and Joseph Ryan and Sudhanshu Khanna and Mateja Putic and John Lach} } @article {69, title = {Impact of circuit assist methods on margin and performance in 6T SRAM}, journal = {Journal of Solid State Electronics}, volume = {54}, year = {2010}, note = {published}, month = {11/2010}, pages = {1398-1407}, publisher = {Elsevier}, chapter = {1398}, keywords = {Process variation, Read assist, Scaling, SNM, SRAM, Vmin, Write assist, Write margin, Yield}, url = {http://www.sciencedirect.com/science?_ob=ArticleURL\&_udi=B6TY5-50GTRCY-1\&_user=709071\&_coverDate=11\%2F30\%2F2010\&_rdoc=1\&_fmt=high\&_orig=search\&_sort=d\&_docanchor=\&view=c\&_acct=C000039638\&_version=1\&_urlVersion=0\&_userid=709071\&md5=2d0ef46bf2e72b91309a5c16}, author = {R. W. Mann and J. Wang and S. Nalam and S. Khanna and G. Braceras and H. Pilo and B. H. Calhoun} } @conference {224, title = {Improving SRAM Vmin and Yield by Using Variation-Aware BTI Stress}, booktitle = {CICC}, year = {2010}, month = {09/2010}, address = {San Jose, CA}, author = {Jiajing Wang and Nalam, Satyanand and Jerry Qi and R. W. Mann and Mircea Stan and B. H. Calhoun} } @conference {77, title = {REESES: Rapid Efficient Energy Scalable ElectronicS}, booktitle = {GOMAC Tech}, year = {2010}, month = {03/2010}, author = {B. H. Calhoun and S. Arrabi and S. Khanna and Y. Shakhsheer and K. Craig and J. Ryan and J. Lach} } @conference {53, title = {SRAM-Based NBTI/PBTI Sensor System Design}, booktitle = {Design Automation Conference (DAC)}, year = {2010}, month = {06/2010}, pages = {849-852}, address = {San Diego, CA}, author = {Jerry Qi and Jiajing Wang and Benton H. Calhoun and Mircea Stan} } @inbook {39, title = {Standby Supply Voltage Minimization for Reliable Nanoscale SRAMs}, booktitle = {Solid State Circuits Technologies}, year = {2010}, publisher = {INTECH}, organization = {INTECH}, chapter = {6}, isbn = {978-953-307-045-2}, url = {http://sciyo.com/articles/show/title/standby-supply-voltage-minimization-for-reliable-nanoscale-srams}, author = {Jiajing Wang and Benton H. Calhoun}, editor = {Jacobus W. Swart} } @conference {79, title = {A Sub-Threshold FPGA with Low-Swing Dual-VDD Interconnect in 90nm CMOS}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2010}, month = {20/09/2010}, author = {Joseph F. Ryan and Benton H. Calhoun} } @conference {73, title = {System Design Principles Combining Sub-threshold Circuits and Architectures with Energy Scavening Mechanisms}, booktitle = {International Symposium on Circuits and Systems (ISCAS)}, year = {2010}, month = {05/2010}, pages = {269-272}, address = {Paris, France}, url = {http://class6.ee.virginia.edu/bentemp/drupal/files/Calhoun_ISCAS2010.pdf}, author = {Benton H. Calhoun and Sudhanshu Khanna and Yanqing Zhang and Joseph Ryan and Brian Otis} } @article {250, title = {Two Fast Methods for Estimating the Minimum Standby Supply Voltage for Large SRAMs}, journal = {Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)}, volume = {29}, year = {2010}, month = {12/2010}, pages = {1908-1920}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @conference {70, title = {Virtual Prototyper (ViPro): An Early Design Space Exploration and Optimization Tool for SRAM Designers}, booktitle = {Design Automation Conference (DAC)}, year = {2010}, month = {06/2010}, pages = {138-143}, author = {S. Nalam and M. Bhargava and K. Mai and B. H. Calhoun} } @conference {13, title = {A 2.6-μW Sub-threshold Mixed-signal ECG SoC}, booktitle = {Symposium on VLSI Circuits}, year = {2009}, month = {6/15/2009}, author = {Steve Jocke and Jonathan Bolus and Stuart N. Wooters and Andrew D. Jurik and Alf F. Weaver and Travis N. Blalock and Benton H. Calhoun} } @conference {11, title = {Asymmetric Sizing in a 45nm 5T SRAM to Improve Read Stability over 6T}, booktitle = {CICC}, year = {2009}, pages = {709-712}, author = {S. Nalam and B. H. Calhoun} } @article {10, title = {Body Area Sensor Networks: Challenges and Opportunities}, journal = {Computer}, volume = {42}, number = {1}, year = {2009}, month = {1/2009}, pages = {58{\textendash}65}, publisher = {IEEE Computer Society Press Los Alamitos, CA, USA}, author = {Hanson, M.A. and Powell Jr, H.C. and Barth, A.T. and Ringgenberg, K. and B. H. Calhoun and Aylor, J.H. and J. Lach} } @conference {14, title = {Mobile Health Monitoring Through Biotelemetry}, booktitle = {Bodynets}, year = {2009}, month = {01/04/2009}, author = {Andrew D. Jurik and Jonathan Bolus and Alf F. Weaver and Benton H. Calhoun and Travis N. Blalock.} } @conference {230, title = {MSN: Memory Sensor for NBTI}, booktitle = {Techcon}, year = {2009}, month = {09/2009}, author = {Jerry Qi and Jiajing Wang and Benton H. Calhoun and Mircea Stan} } @inbook {85, title = {Optimizing Power @ Design Time {\textendash} Memory}, booktitle = {Low Power Design Essentials}, year = {2009}, author = {Benton H. Calhoun and Jan Rabaey}, editor = {Jan Rabaey} } @inbook {86, title = {Optimizing Power @ Standby {\textendash} Memory}, booktitle = {Low Power Design Essentials}, year = {2009}, author = {Benton H. Calhoun and Jan Rabaey}, editor = {Jan Rabaey} } @conference {82, title = {Panoptic DVS: A Fine-Grained Dynamic Voltage Scaling Framework for Energy Scalable CMOS Design}, booktitle = {International Conference on Computer Design (ICCD)}, year = {2009}, month = {01/10/2009}, pages = {491-497}, author = {Mateja Putic and Liang Di and Benton H. Calhoun and and John Lach} } @conference {12, title = {Serial Sub-threshold Circuits for Ultra-Low-Power Systems}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2009}, month = {8/19/2009}, author = {Sudhanshu Khanna and Benton H. Calhoun} } @conference {28, title = {An SRAM Prototyping Tool for Rapid Sub-32nm Design Exploration and Optimization}, booktitle = {TECHCON}, year = {2009}, abstract = {SRAM design in scaled technologies increasingly requires circuit innovations such as read/write assist techniques or alternative bitcells to ensure even basic functionality. However, the lack of a quick mechanism for understanding the impact of these circuit level changes on system level metrics makes accurate assessments of new circuit techniques difficult. Thus, we introduce Virtual Prototyper (ViPro), a tool that helps circuit designers explore this large design space by rapidly generating optimized virtual prototypes of complete SRAM macros. ViPro does this by allowing SRAM component specification with varying levels of detail {\textendash} from {\textquoteleft}black-box{\textquoteright} descriptions to complete netlists {\textendash} and by incorporating those components into a hierarchical model that captures circuit and architectural features of the SRAM to optimize a complete prototype. SRAM designers can use ViPro to generate base-case prototypes, which provide starting points for design space exploration, or to assess the impact of a low level circuit innovation on the overall SRAM design.}, author = {M. Bhargava and S. Nalam and B. H. Calhoun and K. Mai} } @conference {calhoun-sub, title = {Sub-threshold Circuit Design with Shrinking CMOS Devices}, booktitle = {International Symposium on Circuits and Systems}, year = {2009}, month = {3/24/2009}, author = {B. H. Calhoun and S. Khanna and Mann, R. and J. Wang} } @conference {15, title = {Sub-threshold Operation and Cross-Hierarchy Design for Ultra Low Power Wearable Sensors}, booktitle = {International Symposium on Circuits and Systems}, year = {2009}, month = {5/24/2009}, author = {Benton H. Calhoun and Jonathan Bolus and Sudhanshu Khanna and Andrew D. Jurik and Alf F. Weaver and Travis N. Blalock} } @conference {36, title = {A Technology-Agnostic Simulation Environment (TASE) for Iterative Custom IC Design across Processes}, booktitle = {ICCD}, year = {2009}, pages = {523-528}, author = {S. Nalam and M. Bhargava and Ringgenberg, K. and K. Mai and B. H. Calhoun} } @conference {21, title = {Analyzing Static and Dynamic Write Margin for Nanometer SRAMs}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2008}, month = {08/2008}, pages = {129-134}, author = {J. Wang and S. Nalam and B. H. Calhoun} } @article {18, title = {Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS}, journal = {Proceedings of the IEEE (Special Issue on Integrated Electronics: Beyond Moore{\textquoteright}s Law)}, volume = {96}, year = {2008}, month = {02/2008}, pages = {343-365}, author = {Benton H. Calhoun and Yu Cao, Xin Li and Ken Mai and Lawrence T. Pileggi and Rob A. Rutenbar and Kenneth L. Shepard} } @conference {20, title = {An Enhanced Adaptive Canary System for SRAM Standby Power Reduction}, booktitle = {TECHCON}, year = {2008}, month = {09/2008}, author = {Jiajing Wang and Benton H. Calhoun} } @conference {22, title = {Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-threshold Operation}, booktitle = {International Symposium on Quality Electronic Design}, year = {2008}, month = {03/2008}, pages = {127-132}, author = {Joseph F. Ryan and Benton H. Calhoun} } @conference {19, title = {Power Switch Characterization for Fine-Grained Dynamic Voltage Scaling}, booktitle = {International Conference on Computer Design, pages 605-611}, year = {2008}, month = {08/2008}, author = {Liang Di and Mateja Putic and John Lach and Benton H. Calhoun} } @conference {23, title = {Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design}, booktitle = {International Conference on VLSI Design, India}, year = {2008}, month = {00/01/2008}, pages = {131-136}, author = {Amith Singhee and Jiajing Wang and Benton H. Calhoun and Rob A. Rutenbar} } @article {17, title = {Techniques to Extend Canary-based Standby VDD Scaling for SRAMs to 45nm and Beyond}, journal = {IEEE Journal of Solid-State Circuits}, volume = {43}, year = {2008}, month = {11/2008}, pages = {2514-2523}, author = {Jiajing Wang and Benton H. Calhoun} } @article {61, title = {A 256kb 65nm Sub-threshold SRAM Design for Ultra-low Voltage Operation}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {42}, year = {2007}, month = {03/2007}, pages = {680-688}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {27, title = {Analyzing and Modeling Process Balance for Sub-threshold Circuit Design}, booktitle = {GLSVLSI}, year = {2007}, month = {00/03/2007}, pages = {275-280}, author = {Joseph F. Ryan and Jiajing Wang and Benton H. Calhoun} } @conference {24, title = {Canary Replica Feedback for Near-DRV Standby VDD Scaling in a 90nm SRAM}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2007}, month = {00/09/2007}, pages = {29-32}, author = {Jiajing Wang and Benton H. Calhoun} } @conference {25, title = {Statistical Modeling for the Minimum Standby Supply Voltage of a Full SRAM Array}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2007}, month = {00/09/2007}, pages = {400-403}, author = {Jiajing Wang and Amith Singhee and Rob A. Rutenbar and Benton H. Calhoun} } @conference {26, title = {Ultra-Dynamic Voltage Scaling for Energy Starved Electronics}, booktitle = {Proc. of GOMAC Tech}, year = {2007}, month = {00/03/2007}, author = {Alice Wang and Benton H. Calhoun and Naveen Verma and Joyce Kwong and Anantha Chandrakasan} } @conference {50, title = {A 256kb Sub-threshold SRAM in 65nm CMOS}, year = {2006}, month = {02/2006}, pages = {628-629}, address = {IEEE International Solid-State Circuits Conference}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @inbook {87, title = {Low Energy Digital Circuit Design}, booktitle = {AmIware: Hardware Drivers of Ambient Intelligence}, year = {2006}, publisher = {Springer}, organization = {Springer}, author = {Benton H. Calhoun and Curt Schurgers and Alice Wang and Anantha Chandrakasan}, editor = {S. Mukherjee, E. Aarts, R. Roovers, F. Widdershoven, and M. Ouwerkerk} } @conference {51, title = {Micropower Wireless Sensors}, booktitle = {NSTI Nanotech}, volume = {3}, year = {2006}, month = {05/2006}, pages = {459-462}, author = {Anantha P. Chandrakasan and Naveen Verma and Joyce Kwong and Denis Daly and Nathan Ickes and Daniel Finchelstein and Benton H. Calhoun} } @inbook {88, title = {Power Gating and Dynamic Voltage Scaling}, booktitle = {Leakage in Nanometer Technologies}, year = {2006}, pages = {41-75}, publisher = {Springer}, organization = {Springer}, author = {Benton H. Calhoun and James Kao, and Anantha Chandrakasan}, editor = {Siva Narendra and Anantha Chandrakasan} } @article {60, title = {Static Noise Margin Variation for Sub-threshold SRAM in 65nm CMOS}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {41}, year = {2006}, month = {07/2006}, pages = {1673-1679}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @book {83, title = {Sub-threshold Design for Ultra Low-Power Systems}, year = {2006}, publisher = {Springer}, organization = {Springer}, author = {Alice Wang and Benton H. Calhoun, Anantha Chandrakasan} } @conference {52, title = {Sub-threshold Design: The Challenges of Minimizing Circuit Energy}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2006}, month = {10/2006}, pages = {366-368}, author = {Benton H. Calhoun and Alice Wang and Naveen Verma and Anantha Chandrakasan} } @article {59, title = {Ultra-Dynamic Voltage Scaling (UDVS) Using Sub-threshold Operation and Local Voltage Dithering}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {41}, year = {2006}, month = {01/2006}, pages = {238-245}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {49, title = {Analyzing Static Noise Margin for Sub-threshold SRAM in 65nm CMOS}, booktitle = {European Solid-State Circuits Conference}, year = {2005}, month = {09/2005}, pages = {363-366}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @article {57, title = {Design Considerations for Ultra-low Energy Wireless Microsensor Nodes}, journal = {IEEE Transactions on Computers}, volume = {54}, year = {2005}, month = {06/2005}, pages = {727-740}, author = {Benton H. Calhoun and Denis D. Daly and Naveen Verma and Daniel Finchelstein and David D. Wentzloff and Alice Wang and Seong-Hwan Cho and Anantha Chandrakasan} } @article {58, title = {Modeling and Sizing for Minimum Energy Operation in Sub-threshold Circuits}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {40}, year = {2005}, month = {09/2005}, pages = {1778-1786}, author = {Benton H. Calhoun and Alice Wang and Anantha Chandrakasan} } @conference {48, title = {Ultra-Dynamic Voltage Scaling Using Sub-threshold Operation and Local Voltage Dithering in 90nm CMOS}, booktitle = {International Solid State Circuits Conference (ISSCC)}, year = {2005}, month = {02/2005}, pages = {300-301}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {46, title = {Characterizing and Modeling Minimum Energy Operation for Subthreshold Circuits}, booktitle = {International Symposium on Low Power Electronics and Design}, year = {2004}, month = {08/2004}, pages = {90-95}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @article {54, title = {Design Considerations for Energy-Efficient Radios in Wireless Microsensor Networks}, journal = {Journal of VLSI Signal Processing}, volume = {37}, year = {2004}, month = {05/2004}, pages = {77-94}, author = {Eugene Shih and Seong-Hwan Cho and Fred S. Lee and Benton H. Calhoun and Anantha Chandrakasan} } @conference {45, title = {Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes}, booktitle = {International Conference on VLSI Design}, year = {2004}, month = {01/2004}, pages = {361-367}, author = {David D. Wentzloff and Benton H. Calhoun and Rex Min and Alice Wang and Nathan Ickes and Anantha P. Chandrakasan} } @conference {47, title = {Device Sizing for Minimum Energy Operation in Subthreshold Circuits}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2004}, month = {10/2004}, pages = {95-98}, author = {Benton H. Calhoun and Alice Wang and Anantha Chandrakasan} } @article {55, title = {A Leakage Reduction Methodology for Distributed MTCMOS}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {39}, year = {2004}, month = {05/2004}, pages = {818-826}, author = {Benton H. Calhoun and Frank.A. Honore and Anantha Chandrakasan} } @article {56, title = {Standby Power Reduction Using Dynamic Voltage Scaling and Flip-Flop Structures}, journal = {IEEE Journal of Solid-State Circuits (JSSC)}, volume = {39}, year = {2004}, month = {09/2004}, pages = {1504-1511}, author = {Benton H. Calhoun and Anantha Chandrakasan} } @conference {43, title = {Design Methodology for Fine-Grained Leakage Control in MTCMOS}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED)}, year = {2003}, month = {08/2003}, pages = {104-109}, author = {Benton H. Calhoun and Frank A. Honore and Anantha Chandrakasan} } @conference {44, title = {Standby Voltage Scaling for Reduced Power}, booktitle = {Custom Integrated Circuits Conference (CICC)}, year = {2003}, month = {09/2003}, pages = {639-642}, author = {Benton Calhoun and Anantha Chandrakasan} } @conference {42, title = {Fast Algorithm for Clock Grid Simulation}, booktitle = {European Solid State Circuits Conference (ESSCIRC)}, year = {2002}, month = {09/2002}, pages = {771-774}, author = {Paul Sotiriadis and Olivier Franza and Dan Bailey and Benton Calhoun and D. Lin and Anantha Chandrakasan} } @conference {41, title = {Energy-Efficient Link Layer for Wireless Microsensor Networks}, booktitle = {IEEE Computer Society Workshop on VLSI}, year = {2001}, month = {04/2001}, pages = {16-21}, author = {Eugene Shih and Benton H. Calhoun and Seong-Hwan Cho and Anantha Chandrakasan} }